neorv32-verilog VS edalize

Compare neorv32-verilog vs edalize and see what are their differences.

neorv32-verilog

♻️ Convert the NEORV32 processor into a synthesizable plain-Verilog netlist module using GHDL. (by stnolting)
InfluxDB - Power Real-Time Data Analytics at Scale
Get real-time insights from all types of time series data with InfluxDB. Ingest, query, and analyze billions of data points in real-time with unbounded cardinality.
www.influxdata.com
featured
SaaSHub - Software Alternatives and Reviews
SaaSHub helps you find the best software and product alternatives
www.saashub.com
featured
neorv32-verilog edalize
5 4
40 593
- -
8.1 7.2
6 days ago 2 days ago
Verilog Python
BSD 3-clause "New" or "Revised" License BSD 2-clause "Simplified" License
The number of mentions indicates the total number of mentions that we've tracked plus the number of user suggested alternatives.
Stars - the number of stars that a project has on GitHub. Growth - month over month growth in stars.
Activity is a relative number indicating how actively a project is being developed. Recent commits have higher weight than older ones.
For example, an activity of 9.0 indicates that a project is amongst the top 10% of the most actively developed projects that we are tracking.

neorv32-verilog

Posts with mentions or reviews of neorv32-verilog. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2022-10-10.

edalize

Posts with mentions or reviews of edalize. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2023-02-06.
  • Dropping EDA-GUI's 101
    1 project | /r/FPGA | 17 Feb 2023
    Check out FuseSoC: https://github.com/olofk/fusesoc which can handle Vivado builds for you (utilizing edalize: https://github.com/olofk/edalize) along with some nice package management. It can run against multiple tools so you can also get it to build simulations using Verilator or a commercial EDA tool if you have access to them.
  • Introduction to FPGAs
    9 projects | news.ycombinator.com | 6 Feb 2023
    Check out https://github.com/olofk/fusesoc. It gives you a command line build flow that can drive Vivado (along with many other eda tools via edalize https://github.com/olofk/edalize) without having to touch the GUI (though you might want it for programming the board, though FuseSoC can do that too).
  • Compiling Code into Silicon
    10 projects | news.ycombinator.com | 7 Dec 2021
    This reminds me very much of edalize[1], which does something very similar.

    [1]: https://github.com/olofk/edalize

  • Olof Kindgren on LinkedIn: We have a new world record! 6000 RISC-V cores in a single chip!
    3 projects | /r/RISCV | 24 Sep 2021

What are some alternatives?

When comparing neorv32-verilog and edalize you can also consider the following projects:

biriscv - 32-bit Superscalar RISC-V CPU

fusesoc - Package manager and build abstraction tool for FPGA/ASIC development

riscv - RISC-V CPU Core (RV32IM)

skywater-pdk - Open source process design kit for usage with SkyWater Technology Foundry's 130nm node.

serv - SERV - The SErial RISC-V CPU

freepdk-45nm - ASIC Design Kit for FreePDK45 + Nangate for use with mflowgen

ghdl - VHDL 2008/93/87 simulator

apio - :seedling: Open source ecosystem for open FPGA boards

naja - Structural Netlist API (and more) for EDA post synthesis flow development

icestudio - :snowflake: Visual editor for open FPGA boards

rggen - Code generation tool for control and status registers

sphinx-vhdl