hdl_checker VS cocotb-bus

Compare hdl_checker vs cocotb-bus and see what are their differences.

InfluxDB - Power Real-Time Data Analytics at Scale
Get real-time insights from all types of time series data with InfluxDB. Ingest, query, and analyze billions of data points in real-time with unbounded cardinality.
www.influxdata.com
featured
SaaSHub - Software Alternatives and Reviews
SaaSHub helps you find the best software and product alternatives
www.saashub.com
featured
hdl_checker cocotb-bus
4 1
183 46
- -
0.0 3.7
5 months ago 2 months ago
Python Python
GNU General Public License v3.0 only GNU General Public License v3.0 or later
The number of mentions indicates the total number of mentions that we've tracked plus the number of user suggested alternatives.
Stars - the number of stars that a project has on GitHub. Growth - month over month growth in stars.
Activity is a relative number indicating how actively a project is being developed. Recent commits have higher weight than older ones.
For example, an activity of 9.0 indicates that a project is amongst the top 10% of the most actively developed projects that we are tracking.

hdl_checker

Posts with mentions or reviews of hdl_checker. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2022-02-23.
  • Any better options than Sigasi?
    2 projects | /r/FPGA | 23 Feb 2022
    I've written a LSP that uses modelsim, ghdl or Vivado to do error checking: https://github.com/suoto/hdl_checker
  • What Editor is Everyone Using for FPGA design? (2021)
    2 projects | /r/FPGA | 28 Jun 2021
    NeoVim + CoC + hdl_checker
  • VHDL native lsp
    1 project | /r/neovim | 24 Jun 2021
    As others mentioned, rust_hdl and ghdl ls are worth checking out. If your project has both VHDL and Verilog/SystemVerilog, might be worth checking https://github.com/suoto/hdl_checker (disclaimer, I'm the author). It's got less LS features than the other two but if you use it with modelsim it'll provide mixed language syntax check.
  • IDE / Editor of choice
    1 project | /r/FPGA | 19 Mar 2021
    Specifically for HDL-files a lot of progress has been made in the last couple of years on lsp-mode and external LSP servers for code analysis of both VHDL and SystemVerilog. For SV I use the https://github.com/suoto/hdl_checker server that passes the code you are working on live to the Linting engine in Questa/ModelSim and marks the warning lines in the editor. It's nice to get immediate feedback on missing semicolons etc. although it still has a hard time handling large projects.

cocotb-bus

Posts with mentions or reviews of cocotb-bus. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2021-11-07.
  • Cocotb
    3 projects | /r/FPGA | 7 Nov 2021
    The cocotb bus repo has many of the useful drivers and monitors. https://github.com/cocotb/cocotb-bus/tree/master/src/cocotb_bus. There is also https://github.com/alexforencich/cocotbext-axi for some relevant AXI examples that you can also just use.

What are some alternatives?

When comparing hdl_checker and cocotb-bus you can also consider the following projects:

completor.vim - Async completion framework made ease.

cocotbext-axi - AXI interface modules for Cocotb

rust_hdl

cocotb - cocotb, a coroutine based cosimulation library for writing VHDL and Verilog testbenches in Python

veridian - A SystemVerilog Language Server

sphinxcontrib-hdl-diagrams - Sphinx Extension which generates various types of diagrams from Verilog code.

vcdvcd - Python Verilog value change dump (VCD) parser library + the nifty vcdcat VCD command line pretty printer.

teroshdl-documenter-demo - This is an example of how TerosHDL can generate your documentation project from the command line. So you can integrate it in your CI workflow.

turbobus - TurboBus is an opinionated implementation of Command Responsibility Segregation pattern in python.

vscode-terosHDL - VHDL and Verilog/SV IDE: state machine viewer, linter, documentation, snippets... and more!

fusesoc - Package manager and build abstraction tool for FPGA/ASIC development