Systemverilog

Open-source projects categorized as Systemverilog

Top 23 Systemverilog Open-Source Projects

  • clash-ghc

    Haskell to VHDL/Verilog/SystemVerilog compiler

  • Project mention: Clash: A Functional Hardware Description Language | news.ycombinator.com | 2023-12-27
  • verible

    Verible is a suite of SystemVerilog developer tools, including a parser, style-linter, formatter and language server

  • Project mention: How to instance module with auto-completion for verilog in neovim? | /r/neovim | 2023-08-25

    I want to write Verilog/SystemVerilog with neovim(I use Lazyvim,nvim-lspconfig,mason.nvim, mason-lspconfig.nvim and nvim-cmp) . Now I use Verible to format and lint. But it seems that it cannot complete the signals when I want to instance a module and type a "." . So is there a better way to interconnect modules?

  • InfluxDB

    Power Real-Time Data Analytics at Scale. Get real-time insights from all types of time series data with InfluxDB. Ingest, query, and analyze billions of data points in real-time with unbounded cardinality.

    InfluxDB logo
  • hdmi

    Send video/audio over HDMI on an FPGA

  • Project mention: HDMI Forum Rejects Open-Source HDMI 2.1 Driver Support Sought by AMD | news.ycombinator.com | 2024-02-28

    Relevant caveat from its readme: https://github.com/hdl-util/hdmi?tab=readme-ov-file#hdmi-ado...

  • axi

    AXI SystemVerilog synthesizable IP modules and verification infrastructure for high-performance on-chip communication

  • edalize

    An abstraction library for interfacing EDA tools

  • slang

    SystemVerilog compiler and language services (by MikePopoloski)

  • vscode-terosHDL

    VHDL and Verilog/SV IDE: state machine viewer, linter, documentation, snippets... and more!

  • WorkOS

    The modern identity platform for B2B SaaS. The APIs are flexible and easy-to-use, supporting authentication, user identity, and complex enterprise features like SSO and SCIM provisioning.

    WorkOS logo
  • sv2v

    SystemVerilog to Verilog conversion

  • Project mention: Verilog functions and wires | /r/Verilog | 2023-06-11

    I see what you mean by some online examples adding begin...end in functions. They are not actually required, and many people choose to leave it out (sv2v, lowRISC, BSG). I don't believe there is a benefit to adding them, and it just creates more opportunities for bugs that compilers/linters cannot check.

  • svls

    SystemVerilog language server

  • Project mention: How to configure vim like an IDE | /r/vim | 2023-06-27

    svls

  • veryl

    Veryl: A Modern Hardware Description Language

  • Project mention: Veryl: A Modern Hardware Description Language | news.ycombinator.com | 2024-03-12
  • sv-parser

    SystemVerilog parser library fully compliant with IEEE 1800-2017

  • pymtl3

    Pymtl 3 (Mamba), an open-source Python-based hardware generation, simulation, and verification framework

  • Project mention: Firrtl – Flexible Intermediate Representation for RTL | news.ycombinator.com | 2023-07-15
  • Surelog

    SystemVerilog 2017 Pre-processor, Parser, Elaborator, UHDM Compiler. Provides IEEE Design/TB C/C++ VPI and Python AST & UHDM APIs. Compiles on Linux gcc, Windows msys2-gcc & msvc, OsX (by chipsalliance)

  • svlint

    SystemVerilog linter

  • Project mention: Veryl: A Modern Hardware Description Language | news.ycombinator.com | 2024-03-12

    https://github.com/dalance/svlint

    After writing it, I felt that more improvement is difficult because the specification of SystemVerilog is too complicated.

  • rggen

    Code generation tool for control and status registers

  • hdlConvertor

    Fast Verilog/VHDL parser preprocessor and code generator for C++/Python based on ANTLR4

  • FPGA-SDcard-Reader

    An FPGA-based SD-card reader to read files from FAT16 or FAT32 formatted SD-cards. 基于FPGA的SD卡读取器,可以从FAT16或FAT32格式的SD卡中读取文件。

  • hdl_checker

    Repurposing existing HDL tools to help writing better code

  • open-register-design-tool

    Tool to generate register RTL, models, and docs using SystemRDL or JSpec input

  • veridian

    A SystemVerilog Language Server

  • Project mention: How to configure vim like an IDE | /r/vim | 2023-06-27

    SystemVerilog

  • cheshire

    A minimal Linux-capable 64-bit RISC-V SoC built around CVA6 (by pulp-platform)

  • Project mention: Cpu project | /r/RISCV | 2023-05-08

    If you want to see the difference in scale you may want to compare the Cheshire SoC (Linux capable) here

  • BrianHG-DDR3-Controller

    DDR3 Controller v1.60, 16 read/write ports, configurable widths, priority, auto-burst size & cache on each port. VGA/HDMI multiwindow video controller with alpha-blended layers. Docs & TBs included.

  • deepsocflow

    An Open Workflow to Build Custom SoCs and run Deep Models at the Edge

  • SaaSHub

    SaaSHub - Software Alternatives and Reviews. SaaSHub helps you find the best software and product alternatives

    SaaSHub logo
NOTE: The open source projects on this list are ordered by number of github stars. The number of mentions indicates repo mentiontions in the last 12 Months or since we started tracking (Dec 2020).

Systemverilog related posts

Index

What are some of the best open-source Systemverilog projects? This list will help you:

Project Stars
1 clash-ghc 1,372
2 verible 1,189
3 hdmi 1,004
4 axi 922
5 edalize 590
6 slang 533
7 vscode-terosHDL 490
8 sv2v 464
9 svls 409
10 veryl 396
11 sv-parser 375
12 pymtl3 348
13 Surelog 329
14 svlint 281
15 rggen 277
16 hdlConvertor 264
17 FPGA-SDcard-Reader 208
18 hdl_checker 182
19 open-register-design-tool 181
20 veridian 104
21 cheshire 102
22 BrianHG-DDR3-Controller 60
23 deepsocflow 34

Sponsored
SaaSHub - Software Alternatives and Reviews
SaaSHub helps you find the best software and product alternatives
www.saashub.com