Rust Systemverilog

Open-source Rust projects categorized as Systemverilog

Top 6 Rust Systemverilog Projects

  • svls

    SystemVerilog language server

  • Project mention: How to configure vim like an IDE | /r/vim | 2023-06-27

    svls

  • veryl

    Veryl: A Modern Hardware Description Language

  • Project mention: Veryl: A Modern Hardware Description Language | news.ycombinator.com | 2024-03-12
  • InfluxDB

    Power Real-Time Data Analytics at Scale. Get real-time insights from all types of time series data with InfluxDB. Ingest, query, and analyze billions of data points in real-time with unbounded cardinality.

    InfluxDB logo
  • sv-parser

    SystemVerilog parser library fully compliant with IEEE 1800-2017

  • svlint

    SystemVerilog linter

  • Project mention: Veryl: A Modern Hardware Description Language | news.ycombinator.com | 2024-03-12

    https://github.com/dalance/svlint

    After writing it, I felt that more improvement is difficult because the specification of SystemVerilog is too complicated.

  • veridian

    A SystemVerilog Language Server

  • Project mention: How to configure vim like an IDE | /r/vim | 2023-06-27

    SystemVerilog

  • QuartzHDL

    Hardware description language with Rust-like syntax

  • Project mention: An addressable little explored language gap: HDL - Hardware Description Languages, any language used for electronic circuit design, description, and specs | /r/ProgrammingLanguages | 2023-05-03

    Quartz is pretty cool. https://github.com/Artentus/QuartzHDL

NOTE: The open source projects on this list are ordered by number of github stars. The number of mentions indicates repo mentiontions in the last 12 Months or since we started tracking (Dec 2020).

Rust Systemverilog related posts

  • Veryl: A Modern Hardware Description Language

    3 projects | news.ycombinator.com | 12 Mar 2024
  • How to keep files in memory in tower_lsp?

    1 project | /r/rust | 27 Feb 2023
  • Veryl v0.4.0 release

    1 project | /r/FPGA | 13 Feb 2023
  • Veryl: A modern hardware description language

    1 project | news.ycombinator.com | 13 Jan 2023
  • Veryl: A Modern Hardware Description Language

    2 projects | /r/rust | 12 Jan 2023
  • Ideas to extract netlist from verilog file to parse into machine learning model written in python for classification. Need help.🥲

    1 project | /r/Verilog | 15 Nov 2021
  • svlint/svls: SystemVerilog linter and language server

    1 project | /r/FPGA | 5 Mar 2021
  • A note from our sponsor - SaaSHub
    www.saashub.com | 2 May 2024
    SaaSHub helps you find the best software and product alternatives Learn more →

Index

What are some of the best open-source Systemverilog projects in Rust? This list will help you:

Project Stars
1 svls 411
2 veryl 396
3 sv-parser 378
4 svlint 282
5 veridian 104
6 QuartzHDL 4

Sponsored
SaaSHub - Software Alternatives and Reviews
SaaSHub helps you find the best software and product alternatives
www.saashub.com