rapcores VS zipcpu

Compare rapcores vs zipcpu and see what are their differences.

InfluxDB - Power Real-Time Data Analytics at Scale
Get real-time insights from all types of time series data with InfluxDB. Ingest, query, and analyze billions of data points in real-time with unbounded cardinality.
www.influxdata.com
featured
SaaSHub - Software Alternatives and Reviews
SaaSHub helps you find the best software and product alternatives
www.saashub.com
featured
rapcores zipcpu
3 13
22 1,195
- -
0.0 6.3
over 2 years ago about 1 month ago
Verilog Verilog
ISC License -
The number of mentions indicates the total number of mentions that we've tracked plus the number of user suggested alternatives.
Stars - the number of stars that a project has on GitHub. Growth - month over month growth in stars.
Activity is a relative number indicating how actively a project is being developed. Recent commits have higher weight than older ones.
For example, an activity of 9.0 indicates that a project is amongst the top 10% of the most actively developed projects that we are tracking.

rapcores

Posts with mentions or reviews of rapcores. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2021-07-21.
  • FPGA development automation practices
    2 projects | /r/FPGA | 21 Jul 2021
    Our project is: https://github.com/RAPcores/rapcores I have a draft article about the tools we use, but it is several months old now. We are about one year into the project, and I am amazed how every month some new tooling seems to pop up that solves some problem.
  • PWM for BLDC motor RPM control on Arty A7 100T
    1 project | /r/FPGA | 6 Apr 2021
  • Lessons learned while building an ASIC design
    1 project | /r/ZipCPU | 6 Mar 2021
    Really good write up. We recently did a tape out as well and had similar learnings :) Would have definitely been nice to see this a few months ago. As part of our CI we have started parsing with Yosys, Verilator, and IVerilog. I cannot recommend this enough. There is a perfectly capable subset of verilog dialect amongst all three, and gives you nice protability amongst FOSS toolchains. Running parsing checks is a great way to lint/sanity check things. We also put together a simple script to check register initializations that has been really helpful also: https://github.com/RAPcores/rapcores/blob/main/etc/reginit.sh

zipcpu

Posts with mentions or reviews of zipcpu. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2022-10-20.
  • Xilinx FIFO generator for skid buffer?
    2 projects | /r/FPGA | 20 Oct 2022
    For example, my most recent ZipCPU DMA design will (eventually) handle 8b, 16b, 32b, or arbitrary transfer sizes for both reading or writing. This has forced me to place a shim both before and after the FIFO to make it work properly.
  • Five legally free FPGA books (plus one about Machine Learning)
    1 project | /r/FPGA | 6 Sep 2022
    The Some Assembly Required series on Youtube has a good walkthrough of implementing a 6502, from scratch. Also, /u//ZipCPU has some good documentation of the CPU he built from scratch, as well as some tutorials, at https://zipcpu.com/ and https://github.com/ZipCPU/zipcpu.
  • What make xilinx fpga runs soft core cpu faster than lattice
    1 project | /r/FPGA | 27 Mar 2022
    check out this usage chart for the ZipCPU's logic usage (also linked above). Each line in the chart beginning with Zip represents a different CPU configuration. If the FPGA speeds were the same (they aren't typically), then each configuration line should have the same CPU speed (not counting interconnect, RAM or peripherals). Two of the columns measure iCE40 4-LUTs and Xilinx 6-LUTs.
  • OS AXI4 Crossbar with good performance
    4 projects | /r/FPGA | 7 Mar 2022
    If you are looking for an AXI4 cache implementation, the ZipCPU currently supports two (I+D) that you might be able to gain some insights from. There's the AXI instruction cache implementation, and an AXI4 data cache implementation. They are both one way caches. Both were featured in an article on performance measurement last year. The data cache implementation doesn't support exclusive access yet--that's still on my to-do list. You can find these caches demonstrated in my AXI DMA check repo, if you'd like to try them out.
  • Why is simulation such an important step in the design workflow? Why not just run on actual hardware?
    1 project | /r/FPGA | 30 Nov 2021
    My experience comes from both the ZipCPU (a basic pipelined CPU) and verifying a lot of bus components. I haven't (yet) done an out of order processor, although I will say that verifying a cache gets really basic with formal methods, and I've now verified several cache implementations. The first data cache I wrote took me about two weeks to both write and complete a full formal proof.
  • What modules/hardware would you like to see?
    3 projects | /r/FPGA | 14 May 2021
    I've posted quite a few AXI designs on github. These include an AXI Crossbar, an AX DMA, and even an AXI scatter-gather based DMA. Some of my recent postings even include instruction or [data](instruction caches.
  • Using Xilinx AXI Datamover to move DDR memory to FPGA block RAM
    1 project | /r/FPGA | 2 Apr 2021
    Were this my task, I'd write the core myself. I have, for example, an AXI instruction cache you can reference if you'd like and I'm currently building a data cache following this Wishbone example, only for AXI instead. (I was hoping to offer a Zoom call today for anyone interested, where we'd try verifying this new data cache, but ... I didn't get far enough along on the project to do so today. Perhaps next week.()
  • [Help] CPU: Changing from BRAM to real program flash
    5 projects | /r/FPGA | 15 Mar 2021
    In some of my early CPU tests, one of my earlier instruction fetches would just keep reading up to 16 instructions ahead of time. I thought this was great until I started examining the resulting performance. The first problem was that it wouldn't release the bus for either a data load or store, and the next problem was that the request was so long the result was often irrelevant by the time it arrived since the CPU had already branched away from the addresses it was fetching.
  • Can someone help me fix my simulation workflow?
    2 projects | /r/FPGA | 28 Feb 2021
    That solution isn't all that satisfying to me, so ... I'm trying to do better. My next attempt is going to be 1) using the ZipCPU instead of the ARM (at least for simulation, and certainly instead of a BFM), 2) using AXI instead of Wishbone (Yes, the ZipCPU can now speak either Wishbone or (mostly) AXI), using my own AXI infrastructure (to get rid of the bridges), and using AutoFPGA to compose the design together and handle addressing requirements (instead of Qsys).
  • Soft CPUs - how to debug and test in a sane way?
    4 projects | /r/FPGA | 27 Dec 2020
    Yes, the ZipCPU is modular. It consists of several components: a pre-fetch (of which there are several to choose from), an instruction decoder, an ALU, a divide unit, a multiply unit, and a memory unit (of which there are multiple to choose from again). Each unit has its own unit tests (proofs--not simulations).