fusesoc VS vcdvcd

Compare fusesoc vs vcdvcd and see what are their differences.

fusesoc

Package manager and build abstraction tool for FPGA/ASIC development (by olofk)

vcdvcd

Python Verilog value change dump (VCD) parser library + the nifty vcdcat VCD command line pretty printer. (by cirosantilli)
Our great sponsors
  • WorkOS - The modern identity platform for B2B SaaS
  • InfluxDB - Power Real-Time Data Analytics at Scale
  • SaaSHub - Software Alternatives and Reviews
fusesoc vcdvcd
12 1
1,118 49
- -
7.3 5.9
17 days ago 3 months ago
Python Python
BSD 2-clause "Simplified" License GNU General Public License v3.0 or later
The number of mentions indicates the total number of mentions that we've tracked plus the number of user suggested alternatives.
Stars - the number of stars that a project has on GitHub. Growth - month over month growth in stars.
Activity is a relative number indicating how actively a project is being developed. Recent commits have higher weight than older ones.
For example, an activity of 9.0 indicates that a project is amongst the top 10% of the most actively developed projects that we are tracking.

fusesoc

Posts with mentions or reviews of fusesoc. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2024-03-28.

vcdvcd

Posts with mentions or reviews of vcdvcd. We have used some of these posts to build our list of alternatives and similar projects.
  • Running CLI packages
    1 project | /r/learnpython | 22 Feb 2022
    I want to run this package from Spyder IDE (or Jupyter Notebook) on my Anaconda installation. I can install the package from PyPI just fine. However, from the documentation it is designed to be run from CLI. So, when given the command "vcdcat x.vcd" it should dump the contents to the command line. I tried writing this command in Anaconda prompt

What are some alternatives?

When comparing fusesoc and vcdvcd you can also consider the following projects:

litex - Build your hardware, easily!

hdl_checker - Repurposing existing HDL tools to help writing better code

edalize - An abstraction library for interfacing EDA tools

PlatformIO - Your Gateway to Embedded Software Development Excellence :alien:

opentitan - OpenTitan: Open source silicon root of trust

cocotb - cocotb, a coroutine based cosimulation library for writing VHDL and Verilog testbenches in Python

cocotb-bus - Pre-packaged testbenching tools and reusable bus interfaces for cocotb

teroshdl-documenter-demo - This is an example of how TerosHDL can generate your documentation project from the command line. So you can integrate it in your CI workflow.

pymtl3 - Pymtl 3 (Mamba), an open-source Python-based hardware generation, simulation, and verification framework

rocket-chip - Rocket Chip Generator

axi - AXI SystemVerilog synthesizable IP modules and verification infrastructure for high-performance on-chip communication