cmd_vivado VS fusesoc

Compare cmd_vivado vs fusesoc and see what are their differences.

cmd_vivado

Simplified Command Line Interface to Xilinx's Vivado IDE (by agoessling)

fusesoc

Package manager and build abstraction tool for FPGA/ASIC development (by olofk)
InfluxDB - Power Real-Time Data Analytics at Scale
Get real-time insights from all types of time series data with InfluxDB. Ingest, query, and analyze billions of data points in real-time with unbounded cardinality.
www.influxdata.com
featured
SaaSHub - Software Alternatives and Reviews
SaaSHub helps you find the best software and product alternatives
www.saashub.com
featured
cmd_vivado fusesoc
1 12
4 1,119
- -
10.0 7.3
over 3 years ago 9 days ago
Python Python
- BSD 2-clause "Simplified" License
The number of mentions indicates the total number of mentions that we've tracked plus the number of user suggested alternatives.
Stars - the number of stars that a project has on GitHub. Growth - month over month growth in stars.
Activity is a relative number indicating how actively a project is being developed. Recent commits have higher weight than older ones.
For example, an activity of 9.0 indicates that a project is amongst the top 10% of the most actively developed projects that we are tracking.

cmd_vivado

Posts with mentions or reviews of cmd_vivado. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2022-05-11.
  • CI/CD for FPGA builds
    4 projects | /r/FPGA | 11 May 2022
    I happened to come across this project yesterday which is a wrapper around the Vivado TCL scripts to provide a simpified CLI for use in build scripts etc.

fusesoc

Posts with mentions or reviews of fusesoc. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2024-03-28.

What are some alternatives?

When comparing cmd_vivado and fusesoc you can also consider the following projects:

ghdl - VHDL 2008/93/87 simulator

litex - Build your hardware, easily!

edalize - An abstraction library for interfacing EDA tools

opentitan - OpenTitan: Open source silicon root of trust

cocotb - cocotb, a coroutine based cosimulation library for writing VHDL and Verilog testbenches in Python

teroshdl-documenter-demo - This is an example of how TerosHDL can generate your documentation project from the command line. So you can integrate it in your CI workflow.

rocket-chip - Rocket Chip Generator

vcdvcd - Python Verilog value change dump (VCD) parser library + the nifty vcdcat VCD command line pretty printer.

axi - AXI SystemVerilog synthesizable IP modules and verification infrastructure for high-performance on-chip communication

hdl - HDL libraries and projects

serv - SERV - The SErial RISC-V CPU

viv-prj-gen - tcl scripts used to build or generate vivado projects automatically