VHDL_Lib VS fusesoc

Compare VHDL_Lib vs fusesoc and see what are their differences.

VHDL_Lib

Library of VHDL components that are useful in larger designs. (by xesscorp)

fusesoc

Package manager and build abstraction tool for FPGA/ASIC development (by olofk)
InfluxDB - Power Real-Time Data Analytics at Scale
Get real-time insights from all types of time series data with InfluxDB. Ingest, query, and analyze billions of data points in real-time with unbounded cardinality.
www.influxdata.com
featured
SaaSHub - Software Alternatives and Reviews
SaaSHub helps you find the best software and product alternatives
www.saashub.com
featured
VHDL_Lib fusesoc
1 12
219 1,119
- -
2.5 7.3
7 months ago 17 days ago
VHDL Python
MIT License BSD 2-clause "Simplified" License
The number of mentions indicates the total number of mentions that we've tracked plus the number of user suggested alternatives.
Stars - the number of stars that a project has on GitHub. Growth - month over month growth in stars.
Activity is a relative number indicating how actively a project is being developed. Recent commits have higher weight than older ones.
For example, an activity of 9.0 indicates that a project is amongst the top 10% of the most actively developed projects that we are tracking.

VHDL_Lib

Posts with mentions or reviews of VHDL_Lib. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2021-11-06.

fusesoc

Posts with mentions or reviews of fusesoc. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2024-03-28.

What are some alternatives?

When comparing VHDL_Lib and fusesoc you can also consider the following projects:

opentitan - OpenTitan: Open source silicon root of trust

litex - Build your hardware, easily!

ibex - Ibex is a small 32 bit RISC-V CPU core, previously known as zero-riscy.

edalize - An abstraction library for interfacing EDA tools

oh - Verilog library for ASIC and FPGA designers

libsv - An open source, parameterized SystemVerilog digital hardware IP library

cocotb - cocotb, a coroutine based cosimulation library for writing VHDL and Verilog testbenches in Python

teroshdl-documenter-demo - This is an example of how TerosHDL can generate your documentation project from the command line. So you can integrate it in your CI workflow.

rocket-chip - Rocket Chip Generator

vcdvcd - Python Verilog value change dump (VCD) parser library + the nifty vcdcat VCD command line pretty printer.

axi - AXI SystemVerilog synthesizable IP modules and verification infrastructure for high-performance on-chip communication