hdlConvertor VS verible

Compare hdlConvertor vs verible and see what are their differences.

InfluxDB - Power Real-Time Data Analytics at Scale
Get real-time insights from all types of time series data with InfluxDB. Ingest, query, and analyze billions of data points in real-time with unbounded cardinality.
www.influxdata.com
featured
SaaSHub - Software Alternatives and Reviews
SaaSHub helps you find the best software and product alternatives
www.saashub.com
featured
hdlConvertor verible
1 6
266 1,189
- 1.2%
5.8 9.3
3 months ago 8 days ago
C++ C++
MIT License GNU General Public License v3.0 or later
The number of mentions indicates the total number of mentions that we've tracked plus the number of user suggested alternatives.
Stars - the number of stars that a project has on GitHub. Growth - month over month growth in stars.
Activity is a relative number indicating how actively a project is being developed. Recent commits have higher weight than older ones.
For example, an activity of 9.0 indicates that a project is amongst the top 10% of the most actively developed projects that we are tracking.

hdlConvertor

Posts with mentions or reviews of hdlConvertor. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2021-01-24.
  • VHDL backend
    2 projects | /r/FPGA | 24 Jan 2021
    Have you seen https://github.com/dalance/sv-parser, https://github.com/google/verible, https://github.com/alainmarcel/Surelog, https://github.com/Nic30/hdlConvertor? I think there was at least one more that I stumbled across, but can't find at the moment.

verible

Posts with mentions or reviews of verible. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2022-02-05.

What are some alternatives?

When comparing hdlConvertor and verible you can also consider the following projects:

circt - Circuit IR Compilers and Tools

slang - SystemVerilog compiler and language services

vscode-terosHDL - VHDL and Verilog/SV IDE: state machine viewer, linter, documentation, snippets... and more!

svls - SystemVerilog language server

naja-verilog - A standalone structural (gate-level) verilog parser

Surelog - SystemVerilog 2017 Pre-processor, Parser, Elaborator, UHDM Compiler. Provides IEEE Design/TB C/C++ VPI and Python AST API. Compiles on Linux gcc, Windows msys2-gcc & msvc, OsX

rggen - Code generation tool for control and status registers

veridian - A SystemVerilog Language Server

Surelog - SystemVerilog 2017 Pre-processor, Parser, Elaborator, UHDM Compiler. Provides IEEE Design/TB C/C++ VPI and Python AST & UHDM APIs. Compiles on Linux gcc, Windows msys2-gcc & msvc, OsX

clash-ghc - Haskell to VHDL/Verilog/SystemVerilog compiler

tree-sitter-html - HTML grammar for Tree-sitter