edalize VS myhdl

Compare edalize vs myhdl and see what are their differences.

Our great sponsors
  • WorkOS - The modern identity platform for B2B SaaS
  • InfluxDB - Power Real-Time Data Analytics at Scale
  • SaaSHub - Software Alternatives and Reviews
edalize myhdl
4 15
590 1,003
- 1.4%
7.3 5.1
9 days ago 2 months ago
Python Python
BSD 2-clause "Simplified" License GNU Lesser General Public License v3.0 only
The number of mentions indicates the total number of mentions that we've tracked plus the number of user suggested alternatives.
Stars - the number of stars that a project has on GitHub. Growth - month over month growth in stars.
Activity is a relative number indicating how actively a project is being developed. Recent commits have higher weight than older ones.
For example, an activity of 9.0 indicates that a project is amongst the top 10% of the most actively developed projects that we are tracking.

edalize

Posts with mentions or reviews of edalize. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2023-02-06.
  • Dropping EDA-GUI's 101
    1 project | /r/FPGA | 17 Feb 2023
    Check out FuseSoC: https://github.com/olofk/fusesoc which can handle Vivado builds for you (utilizing edalize: https://github.com/olofk/edalize) along with some nice package management. It can run against multiple tools so you can also get it to build simulations using Verilator or a commercial EDA tool if you have access to them.
  • Introduction to FPGAs
    9 projects | news.ycombinator.com | 6 Feb 2023
    Check out https://github.com/olofk/fusesoc. It gives you a command line build flow that can drive Vivado (along with many other eda tools via edalize https://github.com/olofk/edalize) without having to touch the GUI (though you might want it for programming the board, though FuseSoC can do that too).
  • Compiling Code into Silicon
    10 projects | news.ycombinator.com | 7 Dec 2021
    This reminds me very much of edalize[1], which does something very similar.

    [1]: https://github.com/olofk/edalize

  • Olof Kindgren on LinkedIn: We have a new world record! 6000 RISC-V cores in a single chip!
    3 projects | /r/RISCV | 24 Sep 2021

myhdl

Posts with mentions or reviews of myhdl. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2024-03-07.
  • Launch HN: SiLogy (YC W24) – Chip design and verification in the cloud
    6 projects | news.ycombinator.com | 7 Mar 2024
    Thank you for tackling this critical problem for logic designiners. I think the tools available are much too old for fast paced workflows.

    From my experience attempting to get a similar workflow down for my company:

    I tried to use verilator a while back but ultimately I couldn't because it didn't have same constraints in the verilog language features that I was going to use in production. It doesn't even matter who was missing a feature, verilator or the proprietary tool, it was just about getting them to be same that caused the cognitive dissonance that I didn't want to deal with.

    I ultimately decided to move away from verilator and use the clunky proprietary tools since it was what would be used in production. Getting "verilator compatibility" seemed like a "nice to have".

    Second, the a winning local-first framework of verilator wasn't really established. You show in your example running a test from the yaml file using what looks like a bash script. Even as an experienced programmer who knows bash and sh well, I still find it very hard to write complex thoughts in it. The last high level attempt I found to bridge this gap is likely https://www.myhdl.org/ I don't know them personally, but it seemed like they had some very good thoughts on what makes writing good hardware level tests good. I think it would be worth reaching out to them if you haven't already.

    The one thing that even more critical was a way to run our tests locally. The 10-20 seconds it takes to start a docker image (best case) in the cloud is really frustrating when you are "so close to finding a bug" and you "just want to see if this one line change is going to fix it". Once we got our whole pipeline going, it would take 1-6 minutes to "start a run" since it often had to rebuild previous steps that cache large parts of the design.

    So I think you will want to see how you can help bring people's "local's first" workflows slowly into the cloud. Some tools (or just tutorials) that help you take a failing test, and run it locally and on the cloud will be really good especially as you get people to transition!

  • Why are there only 3 languages for FPGA development?
    5 projects | /r/FPGA | 1 Dec 2022
    Also PyMTL, PyRTL, and MyHDL.
  • Choice of Python HDL library
    10 projects | /r/FPGA | 25 Jul 2022
    MyHDL
  • Show HN: PyCircTools – Build digital circuits using Python
    3 projects | news.ycombinator.com | 13 Jul 2022
  • Tools for designing hardware in Python
    6 projects | /r/Python | 26 Mar 2022
    Any hardware designers here who use Python for designing hardware? There are a bunch of libraries that all seem promising MyHDL, PyRTL, PyVerilog, PyLog, PyMTL3, ... All seem to work roughly the same. Write code in Python and transpile it to VHDL/Verilog. Which of these are popular and well-maintained? MyHDL looks good but it's last release was 0.10 in 2018 and for hardware design you don't want to rely on 0.x software. Anything like Chisel for Python.
  • Design Hardware with Python
    1 project | news.ycombinator.com | 17 Mar 2022
  • FPGA engineers specialize in DSP. What is your job? How much do you get paid? What is your work day like?
    1 project | /r/ECE | 28 Jan 2022
    It is : https://www.myhdl.org/
  • Compiling Code into Silicon
    10 projects | news.ycombinator.com | 7 Dec 2021
    Personally I have fond memories of MyHDL [0], which may be seen as another "code-to-silicon" converter (or at least as the first step of a code-to-silicon workflow). I used it only briefly, and on a school project that had surprisingly little to do with actual hardware design [1], but it really felt "Pythonic" in the best possible way.

    [0]: https://www.myhdl.org/

    [1]: https://github.com/lou1306/gssi/tree/master/2pc

  • MyHDL open-source package for using Python as a hardware description
    1 project | news.ycombinator.com | 28 Nov 2021
  • GitHub - myhdl/myhdl: MyHDL is a free, open-source package for using Python as a hardware description and verification language.
    1 project | /r/Python | 28 Nov 2021

What are some alternatives?

When comparing edalize and myhdl you can also consider the following projects:

fusesoc - Package manager and build abstraction tool for FPGA/ASIC development

chisel - Chisel: A Modern Hardware Design Language

skywater-pdk - Open source process design kit for usage with SkyWater Technology Foundry's 130nm node.

nmigen - A refreshed Python toolbox for building complex digital hardware. See https://gitlab.com/nmigen/nmigen

freepdk-45nm - ASIC Design Kit for FreePDK45 + Nangate for use with mflowgen

pymtl3 - Pymtl 3 (Mamba), an open-source Python-based hardware generation, simulation, and verification framework

apio - :seedling: Open source ecosystem for open FPGA boards

PyRTL - A collection of classes providing simple hardware specification, simulation, tracing, and testing suitable for teaching and research. Simplicity, usability, clarity, and extendability rather than performance or optimization is the overarching goal.

icestudio - :snowflake: Visual editor for open FPGA boards

Pyverilog - Python-based Hardware Design Processing Toolkit for Verilog HDL

rggen - Code generation tool for control and status registers

SpinalHDL - Scala based HDL