myhdl

The MyHDL development repository (by myhdl)

Myhdl Alternatives

Similar projects and alternatives to myhdl

NOTE: The number of mentions on this list indicates mentions on common posts plus user suggested alternatives. Hence, a higher number means a better myhdl alternative or higher similarity.

myhdl reviews and mentions

Posts with mentions or reviews of myhdl. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2024-03-07.
  • Launch HN: SiLogy (YC W24) – Chip design and verification in the cloud
    6 projects | news.ycombinator.com | 7 Mar 2024
    Thank you for tackling this critical problem for logic designiners. I think the tools available are much too old for fast paced workflows.

    From my experience attempting to get a similar workflow down for my company:

    I tried to use verilator a while back but ultimately I couldn't because it didn't have same constraints in the verilog language features that I was going to use in production. It doesn't even matter who was missing a feature, verilator or the proprietary tool, it was just about getting them to be same that caused the cognitive dissonance that I didn't want to deal with.

    I ultimately decided to move away from verilator and use the clunky proprietary tools since it was what would be used in production. Getting "verilator compatibility" seemed like a "nice to have".

    Second, the a winning local-first framework of verilator wasn't really established. You show in your example running a test from the yaml file using what looks like a bash script. Even as an experienced programmer who knows bash and sh well, I still find it very hard to write complex thoughts in it. The last high level attempt I found to bridge this gap is likely https://www.myhdl.org/ I don't know them personally, but it seemed like they had some very good thoughts on what makes writing good hardware level tests good. I think it would be worth reaching out to them if you haven't already.

    The one thing that even more critical was a way to run our tests locally. The 10-20 seconds it takes to start a docker image (best case) in the cloud is really frustrating when you are "so close to finding a bug" and you "just want to see if this one line change is going to fix it". Once we got our whole pipeline going, it would take 1-6 minutes to "start a run" since it often had to rebuild previous steps that cache large parts of the design.

    So I think you will want to see how you can help bring people's "local's first" workflows slowly into the cloud. Some tools (or just tutorials) that help you take a failing test, and run it locally and on the cloud will be really good especially as you get people to transition!

  • Why are there only 3 languages for FPGA development?
    5 projects | /r/FPGA | 1 Dec 2022
    Also PyMTL, PyRTL, and MyHDL.
  • Choice of Python HDL library
    10 projects | /r/FPGA | 25 Jul 2022
    MyHDL
  • Show HN: PyCircTools – Build digital circuits using Python
    3 projects | news.ycombinator.com | 13 Jul 2022
  • Tools for designing hardware in Python
    6 projects | /r/Python | 26 Mar 2022
    Any hardware designers here who use Python for designing hardware? There are a bunch of libraries that all seem promising MyHDL, PyRTL, PyVerilog, PyLog, PyMTL3, ... All seem to work roughly the same. Write code in Python and transpile it to VHDL/Verilog. Which of these are popular and well-maintained? MyHDL looks good but it's last release was 0.10 in 2018 and for hardware design you don't want to rely on 0.x software. Anything like Chisel for Python.
  • Design Hardware with Python
    1 project | news.ycombinator.com | 17 Mar 2022
  • FPGA engineers specialize in DSP. What is your job? How much do you get paid? What is your work day like?
    1 project | /r/ECE | 28 Jan 2022
    It is : https://www.myhdl.org/
  • Compiling Code into Silicon
    10 projects | news.ycombinator.com | 7 Dec 2021
    Personally I have fond memories of MyHDL [0], which may be seen as another "code-to-silicon" converter (or at least as the first step of a code-to-silicon workflow). I used it only briefly, and on a school project that had surprisingly little to do with actual hardware design [1], but it really felt "Pythonic" in the best possible way.

    [0]: https://www.myhdl.org/

    [1]: https://github.com/lou1306/gssi/tree/master/2pc

  • MyHDL open-source package for using Python as a hardware description
    1 project | news.ycombinator.com | 28 Nov 2021
  • GitHub - myhdl/myhdl: MyHDL is a free, open-source package for using Python as a hardware description and verification language.
    1 project | /r/Python | 28 Nov 2021
  • A note from our sponsor - InfluxDB
    www.influxdata.com | 25 Apr 2024
    Get real-time insights from all types of time series data with InfluxDB. Ingest, query, and analyze billions of data points in real-time with unbounded cardinality. Learn more →

Stats

Basic myhdl repo stats
15
1,003
5.1
2 months ago

Sponsored
SaaSHub - Software Alternatives and Reviews
SaaSHub helps you find the best software and product alternatives
www.saashub.com