SpinalHDL VS bsc

Compare SpinalHDL vs bsc and see what are their differences.

Our great sponsors
  • InfluxDB - Power Real-Time Data Analytics at Scale
  • WorkOS - The modern identity platform for B2B SaaS
  • SaaSHub - Software Alternatives and Reviews
SpinalHDL bsc
8 8
1,518 879
3.2% 1.5%
9.8 8.4
5 days ago 19 days ago
Scala Haskell
GNU General Public License v3.0 or later GNU General Public License v3.0 or later
The number of mentions indicates the total number of mentions that we've tracked plus the number of user suggested alternatives.
Stars - the number of stars that a project has on GitHub. Growth - month over month growth in stars.
Activity is a relative number indicating how actively a project is being developed. Recent commits have higher weight than older ones.
For example, an activity of 9.0 indicates that a project is amongst the top 10% of the most actively developed projects that we are tracking.

SpinalHDL

Posts with mentions or reviews of SpinalHDL. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2023-03-03.
  • 1800-2023 – IEEE Standard for SystemVerilog
    1 project | news.ycombinator.com | 17 Apr 2024
    I'd love to see textual preprocessors kinda banned. Or at least done upstream and outside of the language. You can't both be and also have a textual preprocessor defined internally. It doesn't work.

    I really like what Zig and C++ are doing with `const`.

    https://ikrima.dev/dev-notes/zig/zig-metaprogramming/

    Have you looked at Spinal?

    https://github.com/SpinalHDL/SpinalHDL

    https://spinalhdl.github.io/SpinalDoc-RTD/master/index.html

  • Ao486_MiSTer: i486 core for the MiSTer FPGA gaming system
    7 projects | news.ycombinator.com | 3 Mar 2023
    Many companies do just write entire modern SoCs in straight Verilog (maybe with some autogenerated Verilog hacked in there) with no other major organization tools aside from the typical project management stuff. The load-store unit of a modern CPU alone easily exceeds 10k lines of Verilog. It's a similar thing as people who work with kernels—after all, the page table management code in a modern operating system like Linux is absolutely monstrous but still people are able to understand it well enough to be able to make the changes they need and get out.

    If you are interested in other languages which hope to make this sort of stuff easier, I'd recommend taking a look at design productivity languages like Chisel and it's associated Chipyard [1], SpinalHDL [2], and Bluespec [3]. Each of these are meant to make defining extremely complex hardware more manageable for humans and there's a lot of interesting work going on right now with each of them.

    [1] https://github.com/ucb-bar/chipyard

    [2] https://github.com/SpinalHDL/SpinalHDL

    [3] https://github.com/B-Lang-org/bsc

  • Simple skid buffer implementation
    3 projects | /r/FPGA | 10 Jan 2023
    I have just found that SpinalHDL also implemented two halves of the fully registered buffer in Stream.scala.
  • Why are there only 3 languages for FPGA development?
    5 projects | /r/FPGA | 1 Dec 2022
    Don’t forget SpinalHDL that was forked off of Chisel 2 I believe. These DSLs really leveraged the software features of Scala to help build generalised/modular systems. And are generally a quality of life improvement in the language features available.
  • SpinalHDL – A high level hardware description language based on Scala
    1 project | news.ycombinator.com | 20 Apr 2022
  • Share some github FPGA projects (bonus if they include C++, Python, or other files)
    15 projects | /r/FPGA | 14 Sep 2021
    A lot of reuse from other FOSH projects, including Litex, SpinalHDL, betrusted & u/alexforencich verilog-wishbone. Thanks to all of them :-)
  • Suggest advance project ideas
    3 projects | /r/FPGA | 3 Sep 2021
    You could try to implement a PCIe root complex for FOSS SoCs, connecting to e.g. Wishbone as the main bus. There's already some DDR3 controller (or this one) and USB Host controller out there, and even device-side PCIe, but no FOSS host-side PCIe that I know of. Probably quite a difficult job though, even sticking to the lower-speed PCIe 1.
  • Chisel/Firrtl Hardware Compiler Framework
    8 projects | news.ycombinator.com | 5 Jul 2021

bsc

Posts with mentions or reviews of bsc. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2023-03-03.
  • Ao486_MiSTer: i486 core for the MiSTer FPGA gaming system
    7 projects | news.ycombinator.com | 3 Mar 2023
    Many companies do just write entire modern SoCs in straight Verilog (maybe with some autogenerated Verilog hacked in there) with no other major organization tools aside from the typical project management stuff. The load-store unit of a modern CPU alone easily exceeds 10k lines of Verilog. It's a similar thing as people who work with kernels—after all, the page table management code in a modern operating system like Linux is absolutely monstrous but still people are able to understand it well enough to be able to make the changes they need and get out.

    If you are interested in other languages which hope to make this sort of stuff easier, I'd recommend taking a look at design productivity languages like Chisel and it's associated Chipyard [1], SpinalHDL [2], and Bluespec [3]. Each of these are meant to make defining extremely complex hardware more manageable for humans and there's a lot of interesting work going on right now with each of them.

    [1] https://github.com/ucb-bar/chipyard

    [2] https://github.com/SpinalHDL/SpinalHDL

    [3] https://github.com/B-Lang-org/bsc

  • Learning VDHL after knowing Verilog
    2 projects | /r/FPGA | 14 Jan 2023
    What are your thoughts on other HDLs like Chisel or BlueSpec when it comes to better type checking?
  • Is “x' = f(x)” a programming paradigm?
    2 projects | /r/AskProgramming | 9 Nov 2022
    In a previous project we used Haskell that compiled down to Verilog to design hardware. Think along the lines of BlueSpec or Clash. Haskell would force you to spell out the new state as a function of the old state of the system. This would let us do gate-level simulations of the hardware we designed. Coupled with Haskell's penchant for using primes to mean "the new value of", stuff like x' = f x was very common.
  • I'm starting a project to make a Rust-like hardware description language and I need your opinions.
    5 projects | /r/rust | 21 Aug 2022
    You should look at Bluespec, they are doing some interesting stuff.
  • Verilog Is Weird
    4 projects | news.ycombinator.com | 23 Mar 2022
  • Bluespec hardware design language and simulation tools
    1 project | news.ycombinator.com | 1 Feb 2022
  • MyHDL: Using Python as a hardware description and verification language
    3 projects | news.ycombinator.com | 25 Nov 2021
    And I've been involved in a project that's making heavy use of Bluespec: https://github.com/B-Lang-org/bsc/

    Same problem though - you have to transpile it down to Verilog to use it in anything beyond a simulation.

  • FPGA dev board that's cheap, simple and supported by OSS toolchain
    8 projects | news.ycombinator.com | 10 Jan 2021
    FPGA Thread: Bluespec SystemVerilog is now completely open source, very nice HDL although quite opinionated.

    https://github.com/B-Lang-org/bsc

    it's Haskell underneath (https://xkcd.com/356/)

What are some alternatives?

When comparing SpinalHDL and bsc you can also consider the following projects:

chisel - Chisel: A Modern Hardware Design Language

amaranth - A modern hardware definition language and toolchain based on Python

UPduino-v3.0 - UPduino 3.0: new 4 layer layout, various other improvements

litex - Build your hardware, easily!

PipelineC - A C-like hardware description language (HDL) adding high level synthesis(HLS)-like automatic pipelining as a language construct/compiler feature.

chiselverify - A dynamic verification library for Chisel.

linux-on-litex-vexriscv - Linux on LiteX-VexRiscv

litepcie - Small footprint and configurable PCIe core

rustylog - A Rust-like Hardware Description Language transpiled to Verilog

circt - Circuit IR Compilers and Tools

fomu-toolchain - A collection of tools for developing for Fomu