verilog-axi VS autofpga

Compare verilog-axi vs autofpga and see what are their differences.

verilog-axi

Verilog AXI components for FPGA implementation (by alexforencich)

autofpga

A utility for Composing FPGA designs from Peripherals (by ZipCPU)
InfluxDB - Power Real-Time Data Analytics at Scale
Get real-time insights from all types of time series data with InfluxDB. Ingest, query, and analyze billions of data points in real-time with unbounded cardinality.
www.influxdata.com
featured
SaaSHub - Software Alternatives and Reviews
SaaSHub helps you find the best software and product alternatives
www.saashub.com
featured
verilog-axi autofpga
9 9
1,257 156
- -
3.0 4.3
5 months ago 3 months ago
Verilog C++
MIT License GNU General Public License v3.0 only
The number of mentions indicates the total number of mentions that we've tracked plus the number of user suggested alternatives.
Stars - the number of stars that a project has on GitHub. Growth - month over month growth in stars.
Activity is a relative number indicating how actively a project is being developed. Recent commits have higher weight than older ones.
For example, an activity of 9.0 indicates that a project is amongst the top 10% of the most actively developed projects that we are tracking.

verilog-axi

Posts with mentions or reviews of verilog-axi. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2022-03-07.
  • awready and wready set high in master without salve value · Issue #57 · alexforencich/verilog-axi
    1 project | /r/FPGA | 18 May 2023
  • Using Xilinx AXI interconnect to connect AXI lite master to AXI lite slaves
    1 project | /r/FPGA | 9 Nov 2022
    You can also use an AXI lite crossbar like this one: https://github.com/alexforencich/verilog-axi/blob/master/rtl/axil_crossbar.v
  • OS AXI4 Crossbar with good performance
    4 projects | /r/FPGA | 7 Mar 2022
    does anybody knows some sort of AXI4 Crossbar or maybe even an Interconnect with good performance in terms of latency? If I have something like the following scenario, the idea is that M1 txns must have very low access latency in the sense of making the CPU capable of processing at least one instruction per cc. For M2 it's fine to take more time, but what I'm observing is that with this solution for instance, I cannot achieve constant fetching from the CPU, there's always a 1 cc delay between req/resp what hits bad my IPC. Also, S1 cannot be tightly coupled to the CPU because sometimes M2 might require to also fetch from IRAM/ROM. Is it better to switch to another protocol to achieve such good performance latency? if so, what open source available solution can have interesting perf. num, wishbone / AHB?
  • Hey Xilinx users, let me have it...
    4 projects | /r/FPGA | 13 Aug 2021
    You can also look at some of my code, which is all MIT licensed: https://github.com/alexforencich/verilog-axi
  • BRAM to AXI Stream in Xilinx Devices
    1 project | /r/FPGA | 30 Jul 2021
    AXI DMA, or the datamover core that the AXI DMA core uses internally, depending on your use case. I also have an open source AXI DMA module that's comparable to the Xilinx datamover core here: https://github.com/alexforencich/verilog-axi/blob/master/rtl/axi_dma.v
  • Converting an FPGA design to ASIC
    3 projects | /r/chipdesign | 19 Jul 2021
    Xilinx soft IPs: I use the Xilinx IPs: AXI DMA, AXI-Stream Datawidth converter, AXI-Stream Clock converter...etc, for which I'm able to find open source RTL designs here, here and here.
  • Fast, open-source RTL IPs for fixed & floating-point multiplication, accumulation & conversion
    2 projects | /r/FPGA | 17 Jul 2021
    I'm thinking of synthesizing it with ASIC tools such as Synopsys DesignCompiler to check the area & timing. I found some open source projects with Verilog IPs for AXI (zipcpu, alexforencich) and AXIS (alexforencich) modules, and I think I can replace the Xilinx IPs with them. After publishing my paper, I'm planning to release my code as open-source as well.
  • Assigning values to Verilog parameters from Cocotb?
    2 projects | /r/FPGA | 14 Mar 2021
    Thanks for the reply! I ran a particular testbench from your repository but when I placed print statements(print(tb.dut.S_DATA_WIDTH.value) in each test (run_test_write,run_test_read) , I found that it prints out the default parameter value (32) every time.
  • Can someone please explain to me the basic parts of a Cocotb testbench?
    2 projects | /r/FPGA | 3 Mar 2021
    Here's one of my cocotb testbenches for reference: https://github.com/alexforencich/verilog-axi/blob/master/tb/axi_adapter/test_axi_adapter.py .

autofpga

Posts with mentions or reviews of autofpga. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2022-06-28.
  • How do you wire modules together?
    1 project | /r/FPGA | 19 Mar 2023
    I use AutoFPGA for connecting my top level components together. It handles bus composition and address assignment for me, while also creating linker, C header and Verilator simulation files for the project. Once a project is set up, reconfiguration is as easy as adding a file to the command line to add a component, or removing a file from the command line to remove a component. Make handles the rest.
  • Tricks to make AXI wiring faster in Verilog?
    1 project | /r/FPGA | 15 Jul 2022
    I use AutoFPGA for all my bus connections. A single @$(SLAVE.PORTLIST) or @$(SLAVE.ANSPORTLIST) automatically expands into the connections required when instantiating a module. It'll also instantiate the crossbar for you as well.
  • AXI InterConnect
    3 projects | /r/FPGA | 28 Jun 2022
    Yes, I have posted an open source AXI interconnect. Unlike Xilinx's interconnect, mine doesn't automatically bridge between one bus width or clock and another, although some bridges exist in the same repository. Bridges exist, for example, for crossing clock domains, going from AXI3 to AXI4, from AXI4 to AXI4-lite, from AXI4 to a smaller AXI4-lite, and from AXI4-lite to a wider width. It's been enough to keep me from needing my own AXI4 interconnect, although AXI can be a real pain to wire up. As a result, I tend to use AutoFPGA for that purpose.
  • Hey Xilinx users, let me have it...
    4 projects | /r/FPGA | 13 Aug 2021
    Now, whether or not AutoFPGA fits the bill for anyone--that's an entirely different question. I suspect the answer is, "No", but that's really a different conversation for a different time/thread. One of the things it can do is compose an AXI bus from multiple master and slave configurations--all using user controlled and very version controllable configuration files. The big problem it has (currently) is the lack of a strong verification suite. That's probably going to hit the top of my to-do list soon enough.
  • SoC FPGA design to ASIC
    4 projects | /r/FPGA | 22 Jul 2021
    An SoC composer? You'll need something that takes multiple bus components and stitches them together. I've used AutoFPGA extensively for this purpose, and continue to do so today. It's biggest problem? I haven't put a lot of energy into marketing it, so the documentation is more lacking than I would like. Still, it's worked quite well for me and my intermediate tutorial (work in progress) provides some discussion of how to work with it.
  • Tricks to make AXI wiring faster in Verilog
    1 project | /r/FPGA | 15 Jul 2021
    AutoFPGA can do simple bus line pattern substitution. For example, these two configuration lines then expand to these 65 lines.
  • CPU DESIGN
    9 projects | /r/FPGA | 5 Apr 2021
    There are also open source versions of many of the pieces you will need. I now use an open source crossbar interconnect for most of my designs. I use AutoFPGA to connect all the pieces together. I mentioned my flash controller above, but I also have a SD Card controller I've used quite successfully. I've also posted a UART to Wishbone bridge and discussed network debugging, both of which I use routinely with the ZipCPU. If for no other reason, these components allow me to load or update software on my CPU even after it's been placed into an FPGA. Of course, many of those components are tied to a Wishbone bus infrastructure. You may find you need a bridge of some type to connect different buses structures together--memory naturally tends to operate at one width and clock, video at another, and your CPU at another, so it helps at times to have a universal bus adapter kit handy.
  • Auto generate header files
    1 project | /r/FPGA | 16 Jan 2021
    I generated my own solution to this problem, a solution which I called AutoFPGA. It's not IP-XACT. It configures a design based upon a bus with (potentially) multiple masters and slaves. Configuration files are designed on a per-unit basis, with the intention that a slave (or master) configuration file could be removed to remove that portion of the design from the whole.
  • FPGA and Simulation tools for Risc-V design
    4 projects | /r/FPGA | 24 Dec 2020
    If you wish to build a SOC design, you'll need some approach to assembling the bus together. There will be a lot of wires to connect, and a lot of logic to build just to get you off the ground. You'll find several SOC based building tools out there to use. I've built my own, AutoFPGA, which I use for assembling peripherals around a CPU based design. You might find an open source crossbar interconnect to be quite valuable as well. I've built crossbars for AXI, AXI-lite, and Wishbone (pipeline). I know there's a good Wishbone classic crossbar out there as well, I just don't have the link at my fingertips. (Good? It'll slow down your overall clock speed, while yielding poorer performance compared to Wishbone pipeline--but that's just the reality of working with Wishbone classic.)

What are some alternatives?

When comparing verilog-axi and autofpga you can also consider the following projects:

wb2axip - Bus bridges and other odds and ends

riscv-arch-test