picorv32 VS vivado-risc-v

Compare picorv32 vs vivado-risc-v and see what are their differences.

picorv32

PicoRV32 - A Size-Optimized RISC-V CPU (by YosysHQ)

vivado-risc-v

Xilinx Vivado block designs for FPGA RISC-V SoC running Debian Linux distro (by eugene-tarassov)
Our great sponsors
  • InfluxDB - Power Real-Time Data Analytics at Scale
  • WorkOS - The modern identity platform for B2B SaaS
  • SaaSHub - Software Alternatives and Reviews
picorv32 vivado-risc-v
15 6
2,770 738
2.8% -
5.2 7.5
about 1 month ago 9 days ago
Verilog Tcl
ISC License -
The number of mentions indicates the total number of mentions that we've tracked plus the number of user suggested alternatives.
Stars - the number of stars that a project has on GitHub. Growth - month over month growth in stars.
Activity is a relative number indicating how actively a project is being developed. Recent commits have higher weight than older ones.
For example, an activity of 9.0 indicates that a project is amongst the top 10% of the most actively developed projects that we are tracking.

picorv32

Posts with mentions or reviews of picorv32. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2023-02-16.
  • SPI PROTOCOL in FPGA
    1 project | /r/FPGA | 14 May 2023
    In contrast to most people here saying you NEED to spend money. I disagree with that. You can implement and simulate a SPI master/slave fully on your computer, no FPGA or other hardware required. There are simulation models for SPI peripherals you could use. For example: https://github.com/YosysHQ/picorv32/blob/master/picosoc/spiflash.v
  • How many gates does a decent risc-v implementation take?
    2 projects | /r/RISCV | 16 Feb 2023
    The Pico RV32 is pretty small, and can go as low as about 750 LUTs, with most features elided. I don't know how Xilinix LUTs translate to Lattice though.
  • Open-source RISC-V CPU projects for contribution
    8 projects | /r/RISCV | 28 Jan 2023
    Picorv32: https://github.com/YosysHQ/picorv32
  • We ran a Unix-like OS (Xv6) on our home-built CPU with our home-built C compiler
    3 projects | news.ycombinator.com | 27 Nov 2022
    There are loads of free RISC-V cores that you can read the source of and run on cheap FPGAs. Take a look at PicoRV32: https://github.com/YosysHQ/picorv32
  • SUGGEST AN OPEN SOURCE RISC-V CORE DESIGNED IN VERILOG
    3 projects | /r/RISCV | 26 Nov 2022
    picorv32 is written in Verilog.
  • Minimax: a Compressed-First, Microcoded RISC-V CPU
    4 projects | /r/FPGA | 26 Oct 2022
    In short: it works, though the implementation lacks the crystal clarity of FemtoRV32 and PicoRV32. The core is larger than SERV but has higher IPC and (very arguably) a more conventional implementation. The compressed instruction set is easier to expand into regular RV32I instructions than it is to execute directly.
  • Apple to Move a Part of Its Embedded Cores to RISC-V
    4 projects | news.ycombinator.com | 16 Sep 2022
    That is, reducing the number of LUT required to implement a CPU of a given ISA.

    A basic RV32 CPU is down to 500-700 LUT.

        https://github.com/YosysHQ/picorv32
  • Designing a reasonable memory interface
    1 project | /r/FPGA | 8 Aug 2022
    I've bought a cheap FPGA board (Sipeed Tang Nano 9K) because I want to implement a little 8 or 16-bit CPU. The FPGA has plenty of BRAM for such a little CPU, so I wouldn't even need to implement an SPI controller initially, but I want to implement a von Neumann architecture, and was wondering if the only way of doing so using single port (or semi dual port) RAM would be to use 2 cycles or more for memory transfer operations (one for loading the instruction, one for executing the actual memory transfer), or if there was any technique that could be used to avoid this without having to implement instruction-level parallelism. Even if not, references to understandable code implementing a simple memory interface would be appreciated. I looked at PicoRV32 but couldn't really understand its inner workings.
  • Risc-v rv32i softcore processor for Zybo-z7-10
    4 projects | /r/FPGA | 14 Apr 2022
    Have you looked at PicoRV32? https://github.com/YosysHQ/picorv32
  • Need help with implementing a media player using FPGAs ?
    2 projects | /r/FPGA | 31 Jan 2022
    What I mean is that you use the FPGA fabric to implement a soft-core CPU, like MicroBlaze (Xilinx) or Nios II (Altera/Intel) or RISC-V or any other CPU you like. Then you can do the MP3 or WAV signal decoding in software, which will be orders of magnitude easier to do than to do it in hardware. For a media player, this is more than adequate.

vivado-risc-v

Posts with mentions or reviews of vivado-risc-v. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2023-03-08.
  • Recommendations for RISC-V on FPGA
    7 projects | /r/FPGA | 8 Mar 2023
    Hello. I'm looking into implementing RISC-V on an FPGA for a school project. The two repos I'm looking into using are the Ariane and RocketChip repos. Both look actively maintained, but RocketChip has more recent releases, and it's used by this other repo that creates a block design in Vivado with the RISC-V RTL. However, we would also like to be able to make changes to the core, and I'm afraid that scala/Chisel might be difficult to learn. Ariane looks like SystemVerilog while RocketChip is mostly Chisel. Does any have recommendations on which RISC-V repo would be good to use for a project?
  • How can I learn about RISC-V and use case? I want to do a project for begginers
    2 projects | /r/FPGA | 5 Feb 2023
  • Open-source RISC-V CPU projects for contribution
    8 projects | /r/RISCV | 28 Jan 2023
    For Xilinx FPGAs : https://github.com/eugene-tarassov/vivado-risc-v
  • can one run one a linux distro like debian on an fpga?
    1 project | /r/FPGA | 9 Nov 2022
    I know it would run slowly, im not interested in performance, just curious about fpga capabilities. I found the following project where apparently they instantiate a Rocket chip core and are able to run debian on it. Unfortunately there are no demo images or video, and i dont own a xilinx board, so i dont know what the system is capable of doing. Could one install a lightweight desktop environment or install packages using apt?
  • Error when preparing a USB for use with an FPGA
    1 project | /r/Ubuntu | 22 Mar 2022
  • Running Hello World on a bare-metal RISC-V FPGA
    3 projects | /r/RISCV | 10 Jan 2022
    But to save time, since you already have the Eugene Tarassov repo working running linux, you could look into modifying the bootrom for your needs. For example, you could take out all the stuff about loading files from SD card etc. and just include kprint.h and the bare minumum you need to print out over UART.

What are some alternatives?

When comparing picorv32 and vivado-risc-v you can also consider the following projects:

openlane - OpenLane is an automated RTL to GDSII flow based on several components including OpenROAD, Yosys, Magic, Netgen and custom methodology scripts for design exploration and optimization.

chipyard - An Agile RISC-V SoC Design Framework with in-order cores, out-of-order cores, accelerators, and more

neorv32-setups - 📁 NEORV32 projects and exemplary setups for various FPGAs, boards and (open-source) toolchains.

rocket-chip - Rocket Chip Generator

Rudi-RV32I - A rudimental RISCV CPU supporting RV32I instructions, in VHDL

skywater-pdk - Open source process design kit for usage with SkyWater Technology Foundry's 130nm node.

wd65c02 - Cycle accurate FPGA implementation of various 6502 CPU variants

mempool - A 256-RISC-V-core system with low-latency access into shared L1 memory.

Projects - Ted Fried's MicroCore Labs Projects which include microsequencer-based FPGA cores and emulators for the 8088, 8086, 8051, 6502, 68000, Z80, Risc-V, and also Typewriter and EPROM Emulator projects. MCL51, MCL64, MCL65, MCL65+, MCL68, MCL86, MCL86+, MCL86jr, MCLR5, MCLZ8

riscv-boom - SonicBOOM: The Berkeley Out-of-Order Machine