open-fpga-verilog-tutorial VS apio

Compare open-fpga-verilog-tutorial vs apio and see what are their differences.

open-fpga-verilog-tutorial

Learn how to design digital systems and synthesize them into an FPGA using only opensource tools (by Obijuan)

apio

:seedling: Open source ecosystem for open FPGA boards (by FPGAwars)
Our great sponsors
  • WorkOS - The modern identity platform for B2B SaaS
  • InfluxDB - Power Real-Time Data Analytics at Scale
  • SaaSHub - Software Alternatives and Reviews
open-fpga-verilog-tutorial apio
3 3
743 753
- 3.5%
0.0 9.7
about 4 years ago 9 days ago
Verilog Verilog
GNU General Public License v3.0 only GNU General Public License v3.0 only
The number of mentions indicates the total number of mentions that we've tracked plus the number of user suggested alternatives.
Stars - the number of stars that a project has on GitHub. Growth - month over month growth in stars.
Activity is a relative number indicating how actively a project is being developed. Recent commits have higher weight than older ones.
For example, an activity of 9.0 indicates that a project is amongst the top 10% of the most actively developed projects that we are tracking.

open-fpga-verilog-tutorial

Posts with mentions or reviews of open-fpga-verilog-tutorial. We have used some of these posts to build our list of alternatives and similar projects.
  • FPGA for beginners?
    1 project | /r/beneater | 2 Oct 2022
    The toolchain is called Icestorm, main tool is yosys, most information will be in English. I started with this tutorials here (also in English) https://github.com/Obijuan/open-fpga-verilog-tutorial. Then I used books to learn more on the basics of cpu design in verilog (which can also found online). Obijuan is a Spanish profesor teaching digital electronics in university, he lead the development of a graphical user interface to generate verilog based on a blocks UI, which helps design circuits when you are starting, but unfortunately I believe all his videos are in Spanish, I'd suggest you give it a try even if you don't understand English, as the material available (wiki and videos) is very good. Look for "fpgawars jedi academy " and IceStudio (the tool). But in the end, I personally felt limited by the GUI tool (which was still under heavy development at the time) and went straight to code the verilog code by hand (which obviously is more flexible). Anyway I feel there are not that many pattern to know.
  • What's the difference between FPGA, RISC-V, Arduino?
    1 project | /r/RISCV | 22 Apr 2021
    Among your choices, FPGA is the answer. I would suggest a cheap iCE40 board like the iCESugar, to get started. Then follow tutorials targetting ice40+open stack.
  • Digital Design for FPGAs, with free tools
    1 project | news.ycombinator.com | 14 Feb 2021

apio

Posts with mentions or reviews of apio. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2023-01-10.
  • Looking for help getting started with TinyFPGA
    1 project | /r/FPGA | 8 Jul 2023
    apio is a python package you drive from the command line. I didn't know somebody had done an integration with Atom. I've installed and used apio on my phone. I wouldn't really recommend doing that, but it shows what's possible.
  • Learning Verilog and FPGA
    8 projects | news.ycombinator.com | 10 Jan 2023
    I've had good experiences using Upduino 3.0 and 3.1 [0] with the IceStorm tools via apio [1]. I wrote a blog post [2] with some info on getting things set up via Linux. All you need is the Upduino board, which interfaces to your host system via USB (so no special programmer is needed).

    [0] https://tinyvision.ai/products/upduino-v3-1

    [1] https://github.com/FPGAwars/apio

    [2] https://daveho.github.io/2021/02/07/upduino3-getting-started...

  • FPGA dev board that's cheap, simple and supported by OSS toolchain
    8 projects | news.ycombinator.com | 10 Jan 2021
    if you're more comfortable with the CLI, you should take a look at the apio project (https://github.com/FPGAwars/apio). It neatly bundles all the required tools. Regarding HDLs, I'm still learning so can't offer any good advice on that.

What are some alternatives?

When comparing open-fpga-verilog-tutorial and apio you can also consider the following projects:

icestudio - :snowflake: Visual editor for open FPGA boards

UPduino-v3.0 - UPduino 3.0: new 4 layer layout, various other improvements

uhd - The USRP™ Hardware Driver Repository

f4pga-arch-defs - FOSS architecture definitions of FPGA hardware useful for doing PnR device generation.

FPGA_Asynchronous_FIFO - FIFO implementation with different clock domains for read and write.

edalize - An abstraction library for interfacing EDA tools

NTHU-ICLAB - 清華大學 | 積體電路設計實驗 (IC LAB) | 110上

darkriscv - opensouce RISC-V cpu core implemented in Verilog from scratch in one night!

fomu-toolchain - A collection of tools for developing for Fomu

psram-tang-nano-9k - An open source PSRAM/HyperRAM controller for Sipeed Tang Nano 9K / Gowin GW1NR-LV9QN88PC6/15 FPGA

nmigen-tutorial - A tutorial for using nmigen