apio VS edalize

Compare apio vs edalize and see what are their differences.

Our great sponsors
  • WorkOS - The modern identity platform for B2B SaaS
  • InfluxDB - Power Real-Time Data Analytics at Scale
  • SaaSHub - Software Alternatives and Reviews
apio edalize
3 4
753 590
3.5% -
9.7 7.3
7 days ago 7 days ago
Verilog Python
GNU General Public License v3.0 only BSD 2-clause "Simplified" License
The number of mentions indicates the total number of mentions that we've tracked plus the number of user suggested alternatives.
Stars - the number of stars that a project has on GitHub. Growth - month over month growth in stars.
Activity is a relative number indicating how actively a project is being developed. Recent commits have higher weight than older ones.
For example, an activity of 9.0 indicates that a project is amongst the top 10% of the most actively developed projects that we are tracking.

apio

Posts with mentions or reviews of apio. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2023-01-10.
  • Looking for help getting started with TinyFPGA
    1 project | /r/FPGA | 8 Jul 2023
    apio is a python package you drive from the command line. I didn't know somebody had done an integration with Atom. I've installed and used apio on my phone. I wouldn't really recommend doing that, but it shows what's possible.
  • Learning Verilog and FPGA
    8 projects | news.ycombinator.com | 10 Jan 2023
    I've had good experiences using Upduino 3.0 and 3.1 [0] with the IceStorm tools via apio [1]. I wrote a blog post [2] with some info on getting things set up via Linux. All you need is the Upduino board, which interfaces to your host system via USB (so no special programmer is needed).

    [0] https://tinyvision.ai/products/upduino-v3-1

    [1] https://github.com/FPGAwars/apio

    [2] https://daveho.github.io/2021/02/07/upduino3-getting-started...

  • FPGA dev board that's cheap, simple and supported by OSS toolchain
    8 projects | news.ycombinator.com | 10 Jan 2021
    if you're more comfortable with the CLI, you should take a look at the apio project (https://github.com/FPGAwars/apio). It neatly bundles all the required tools. Regarding HDLs, I'm still learning so can't offer any good advice on that.

edalize

Posts with mentions or reviews of edalize. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2023-02-06.
  • Dropping EDA-GUI's 101
    1 project | /r/FPGA | 17 Feb 2023
    Check out FuseSoC: https://github.com/olofk/fusesoc which can handle Vivado builds for you (utilizing edalize: https://github.com/olofk/edalize) along with some nice package management. It can run against multiple tools so you can also get it to build simulations using Verilator or a commercial EDA tool if you have access to them.
  • Introduction to FPGAs
    9 projects | news.ycombinator.com | 6 Feb 2023
    Check out https://github.com/olofk/fusesoc. It gives you a command line build flow that can drive Vivado (along with many other eda tools via edalize https://github.com/olofk/edalize) without having to touch the GUI (though you might want it for programming the board, though FuseSoC can do that too).
  • Compiling Code into Silicon
    10 projects | news.ycombinator.com | 7 Dec 2021
    This reminds me very much of edalize[1], which does something very similar.

    [1]: https://github.com/olofk/edalize

  • Olof Kindgren on LinkedIn: We have a new world record! 6000 RISC-V cores in a single chip!
    3 projects | /r/RISCV | 24 Sep 2021

What are some alternatives?

When comparing apio and edalize you can also consider the following projects:

open-fpga-verilog-tutorial - Learn how to design digital systems and synthesize them into an FPGA using only opensource tools

fusesoc - Package manager and build abstraction tool for FPGA/ASIC development

UPduino-v3.0 - UPduino 3.0: new 4 layer layout, various other improvements

skywater-pdk - Open source process design kit for usage with SkyWater Technology Foundry's 130nm node.

f4pga-arch-defs - FOSS architecture definitions of FPGA hardware useful for doing PnR device generation.

freepdk-45nm - ASIC Design Kit for FreePDK45 + Nangate for use with mflowgen

icestudio - :snowflake: Visual editor for open FPGA boards

fomu-toolchain - A collection of tools for developing for Fomu

rggen - Code generation tool for control and status registers

nmigen-tutorial - A tutorial for using nmigen

sphinx-vhdl