open-fpga-verilog-tutorial VS uhd

Compare open-fpga-verilog-tutorial vs uhd and see what are their differences.

open-fpga-verilog-tutorial

Learn how to design digital systems and synthesize them into an FPGA using only opensource tools (by Obijuan)

uhd

The USRP™ Hardware Driver Repository (by EttusResearch)
Our great sponsors
  • InfluxDB - Power Real-Time Data Analytics at Scale
  • WorkOS - The modern identity platform for B2B SaaS
  • SaaSHub - Software Alternatives and Reviews
open-fpga-verilog-tutorial uhd
3 3
743 912
- 2.7%
0.0 9.5
about 4 years ago 7 days ago
Verilog Verilog
GNU General Public License v3.0 only GNU General Public License v3.0 or later
The number of mentions indicates the total number of mentions that we've tracked plus the number of user suggested alternatives.
Stars - the number of stars that a project has on GitHub. Growth - month over month growth in stars.
Activity is a relative number indicating how actively a project is being developed. Recent commits have higher weight than older ones.
For example, an activity of 9.0 indicates that a project is amongst the top 10% of the most actively developed projects that we are tracking.

open-fpga-verilog-tutorial

Posts with mentions or reviews of open-fpga-verilog-tutorial. We have used some of these posts to build our list of alternatives and similar projects.
  • FPGA for beginners?
    1 project | /r/beneater | 2 Oct 2022
    The toolchain is called Icestorm, main tool is yosys, most information will be in English. I started with this tutorials here (also in English) https://github.com/Obijuan/open-fpga-verilog-tutorial. Then I used books to learn more on the basics of cpu design in verilog (which can also found online). Obijuan is a Spanish profesor teaching digital electronics in university, he lead the development of a graphical user interface to generate verilog based on a blocks UI, which helps design circuits when you are starting, but unfortunately I believe all his videos are in Spanish, I'd suggest you give it a try even if you don't understand English, as the material available (wiki and videos) is very good. Look for "fpgawars jedi academy " and IceStudio (the tool). But in the end, I personally felt limited by the GUI tool (which was still under heavy development at the time) and went straight to code the verilog code by hand (which obviously is more flexible). Anyway I feel there are not that many pattern to know.
  • What's the difference between FPGA, RISC-V, Arduino?
    1 project | /r/RISCV | 22 Apr 2021
    Among your choices, FPGA is the answer. I would suggest a cheap iCE40 board like the iCESugar, to get started. Then follow tutorials targetting ice40+open stack.
  • Digital Design for FPGAs, with free tools
    1 project | news.ycombinator.com | 14 Feb 2021

uhd

Posts with mentions or reviews of uhd. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2021-02-10.

What are some alternatives?

When comparing open-fpga-verilog-tutorial and uhd you can also consider the following projects:

icestudio - :snowflake: Visual editor for open FPGA boards

riscv - RISC-V CPU Core (RV32IM)

apio - :seedling: Open source ecosystem for open FPGA boards

psram-tang-nano-9k - An open source PSRAM/HyperRAM controller for Sipeed Tang Nano 9K / Gowin GW1NR-LV9QN88PC6/15 FPGA

NTHU-ICLAB - 清華大學 | 積體電路設計實驗 (IC LAB) | 110上

hdl - HDL libraries and projects

darkriscv - opensouce RISC-V cpu core implemented in Verilog from scratch in one night!

FPGA_Asynchronous_FIFO - FIFO implementation with different clock domains for read and write.

cpu11 - Revengineered ancient PDP-11 CPUs, originals and clones

zipcpu - A small, light weight, RISC CPU soft core