minimax VS picorv32

Compare minimax vs picorv32 and see what are their differences.

minimax

Minimax: a Compressed-First, Microcoded RISC-V CPU (by gsmecher)

picorv32

PicoRV32 - A Size-Optimized RISC-V CPU (by YosysHQ)
Our great sponsors
  • WorkOS - The modern identity platform for B2B SaaS
  • InfluxDB - Power Real-Time Data Analytics at Scale
  • SaaSHub - Software Alternatives and Reviews
minimax picorv32
13 15
194 2,770
- 2.8%
2.9 5.2
8 days ago about 1 month ago
Verilog Verilog
BSD 3-clause "New" or "Revised" License ISC License
The number of mentions indicates the total number of mentions that we've tracked plus the number of user suggested alternatives.
Stars - the number of stars that a project has on GitHub. Growth - month over month growth in stars.
Activity is a relative number indicating how actively a project is being developed. Recent commits have higher weight than older ones.
For example, an activity of 9.0 indicates that a project is amongst the top 10% of the most actively developed projects that we are tracking.

minimax

Posts with mentions or reviews of minimax. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2023-08-04.
  • Is the 6502 a RISC or CISC processor? (2005)
    1 project | news.ycombinator.com | 11 Dec 2023
  • A Single-Cycle 64-Bit RISC-V Register File
    2 projects | news.ycombinator.com | 4 Aug 2023
    On FPGAs, a register file probably fits better into distributed RAM than block RAM.

    On Xilinx, for example: a 64-bit register file doesn't map efficiently to Xilinx's RAMB36 primitives. You'd need 2 RAMB36 primitives to provide a 64-bit wide memory with 1 write port and 2 read ports, each addressed separately. Only 6% (32 of 512) entries in each RAMB36 are ever addressable. It's this inefficient because ports, not memory cells, are the contented resource and BRAMs geometries aren't that elastic.

    A 64-bit register file in distributed RAM, conversely, is a something like an array of DPRAM32 primitives (see, for example, UG474). Each register would still be stored multiple times to provide additional ports, but depending on the fabric, there's less (or no) unaddressed storage cells.

    The Minimax RISC-V CPU (https://github.com/gsmecher/minimax; advertisement warning: my project) is what you get if you chase efficient mapping of FPGA memory primitives (both register-file and RAM) to a logical conclusion. Whether this is actually worth hyper-optimizing really depends on the application. Usually, it's not.

  • Verilator - Do I need to maintain two testbench suits?
    2 projects | /r/FPGA | 10 Jul 2023
    I haven't used it on a huge design (I'm usually a VHDL person), but it was a hassle-free replacement for iverilog when regression testing Minimax. Performance is substantially better; compilation times are worse.
  • Zylin ZPU: The worlds smallest 32 bit CPU with GCC toolchain
    7 projects | news.ycombinator.com | 13 Apr 2023
    Note that you can't compare LUT4 results (ZPU @ 440 LUTs) against LUT6 results (PicoRV32 @ 750 LUTs). The ZPU is remarkably small, and it's a bigger gap than a direct comparison shows.

    SERV is a fair comparison, since it's architected for 4LUTs and I suspect the synthesis results come from iCE40 tools.

    I have a contender in the "very small" space, too [1], although I don't claim it's as mature or complete as SERV. (If Minimax was excluded from your post on the basis of insanity, I'm OK with that.)

    [1] https://github.com/gsmecher/minimax

  • Senior Design Project Ideas?
    4 projects | /r/FPGA | 21 Jan 2023
    I develop Minimax (https://github.com/gsmecher/minimax), an open-source RISC-V implementation. It's currently written in both VHDL and Verilog (the two implementations are equivalent, though I am likely to drop the VHDL implementation if it's too much work to keep them both.)
  • Compiled and Interpreted Languages: Two Ways of Saying Tomato
    4 projects | news.ycombinator.com | 11 Jan 2023
  • PicoBlaze in Verilog / Vivado
    2 projects | /r/FPGA | 16 Nov 2022
    The best point-of-entry for "tiny" MCUs these days is FemtoRV32-Quark or SERV. I also maintain my own small RISC-V core (Minimax), though it's early on in graduating from "experiment" to "real design".
  • Show HN: Minimax – A Compressed-First, Microcoded RISC-V CPU
    1 project | /r/patient_hackernews | 1 Nov 2022
    1 project | /r/hackernews | 1 Nov 2022
  • Minimax: A Compressed-First, Microcoded RISC-V CPU
    1 project | /r/hypeurls | 1 Nov 2022

picorv32

Posts with mentions or reviews of picorv32. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2023-02-16.
  • SPI PROTOCOL in FPGA
    1 project | /r/FPGA | 14 May 2023
    In contrast to most people here saying you NEED to spend money. I disagree with that. You can implement and simulate a SPI master/slave fully on your computer, no FPGA or other hardware required. There are simulation models for SPI peripherals you could use. For example: https://github.com/YosysHQ/picorv32/blob/master/picosoc/spiflash.v
  • How many gates does a decent risc-v implementation take?
    2 projects | /r/RISCV | 16 Feb 2023
    The Pico RV32 is pretty small, and can go as low as about 750 LUTs, with most features elided. I don't know how Xilinix LUTs translate to Lattice though.
  • Open-source RISC-V CPU projects for contribution
    8 projects | /r/RISCV | 28 Jan 2023
    Picorv32: https://github.com/YosysHQ/picorv32
  • We ran a Unix-like OS (Xv6) on our home-built CPU with our home-built C compiler
    3 projects | news.ycombinator.com | 27 Nov 2022
    There are loads of free RISC-V cores that you can read the source of and run on cheap FPGAs. Take a look at PicoRV32: https://github.com/YosysHQ/picorv32
  • SUGGEST AN OPEN SOURCE RISC-V CORE DESIGNED IN VERILOG
    3 projects | /r/RISCV | 26 Nov 2022
    picorv32 is written in Verilog.
  • Minimax: a Compressed-First, Microcoded RISC-V CPU
    4 projects | /r/FPGA | 26 Oct 2022
    In short: it works, though the implementation lacks the crystal clarity of FemtoRV32 and PicoRV32. The core is larger than SERV but has higher IPC and (very arguably) a more conventional implementation. The compressed instruction set is easier to expand into regular RV32I instructions than it is to execute directly.
  • Apple to Move a Part of Its Embedded Cores to RISC-V
    4 projects | news.ycombinator.com | 16 Sep 2022
    That is, reducing the number of LUT required to implement a CPU of a given ISA.

    A basic RV32 CPU is down to 500-700 LUT.

        https://github.com/YosysHQ/picorv32
  • Designing a reasonable memory interface
    1 project | /r/FPGA | 8 Aug 2022
    I've bought a cheap FPGA board (Sipeed Tang Nano 9K) because I want to implement a little 8 or 16-bit CPU. The FPGA has plenty of BRAM for such a little CPU, so I wouldn't even need to implement an SPI controller initially, but I want to implement a von Neumann architecture, and was wondering if the only way of doing so using single port (or semi dual port) RAM would be to use 2 cycles or more for memory transfer operations (one for loading the instruction, one for executing the actual memory transfer), or if there was any technique that could be used to avoid this without having to implement instruction-level parallelism. Even if not, references to understandable code implementing a simple memory interface would be appreciated. I looked at PicoRV32 but couldn't really understand its inner workings.
  • Risc-v rv32i softcore processor for Zybo-z7-10
    4 projects | /r/FPGA | 14 Apr 2022
    Have you looked at PicoRV32? https://github.com/YosysHQ/picorv32
  • Need help with implementing a media player using FPGAs ?
    2 projects | /r/FPGA | 31 Jan 2022
    What I mean is that you use the FPGA fabric to implement a soft-core CPU, like MicroBlaze (Xilinx) or Nios II (Altera/Intel) or RISC-V or any other CPU you like. Then you can do the MP3 or WAV signal decoding in software, which will be orders of magnitude easier to do than to do it in hardware. For a media player, this is more than adequate.

What are some alternatives?

When comparing minimax and picorv32 you can also consider the following projects:

ZPUFlex - A highly-configurable and compact variant of the ZPU processor core

openlane - OpenLane is an automated RTL to GDSII flow based on several components including OpenROAD, Yosys, Magic, Netgen and custom methodology scripts for design exploration and optimization.

Artix-7-HDMI-processing - Receiving and processing 1080p HDMI audio and video on the Artix 7 FPGA

neorv32-setups - 📁 NEORV32 projects and exemplary setups for various FPGAs, boards and (open-source) toolchains.

sulong - Obsolete repository. Moved to oracle/graal.

rocket-chip - Rocket Chip Generator

serv - SERV - The SErial RISC-V CPU

skywater-pdk - Open source process design kit for usage with SkyWater Technology Foundry's 130nm node.

riscof

wd65c02 - Cycle accurate FPGA implementation of various 6502 CPU variants

ch32v003 - CH32V003 is an ultra-cheap RISC-V MCU with 2KB SRAM, 16KB flash, and up to 18 GPIOs that sells for under $0.10

Projects - Ted Fried's MicroCore Labs Projects which include microsequencer-based FPGA cores and emulators for the 8088, 8086, 8051, 6502, 68000, Z80, Risc-V, and also Typewriter and EPROM Emulator projects. MCL51, MCL64, MCL65, MCL65+, MCL68, MCL86, MCL86+, MCL86jr, MCLR5, MCLZ8