SpinalHDL VS myhdl

Compare SpinalHDL vs myhdl and see what are their differences.

Our great sponsors
  • WorkOS - The modern identity platform for B2B SaaS
  • InfluxDB - Power Real-Time Data Analytics at Scale
  • SaaSHub - Software Alternatives and Reviews
SpinalHDL myhdl
8 15
1,518 1,003
3.2% 1.5%
9.8 5.1
3 days ago 2 months ago
Scala Python
GNU General Public License v3.0 or later GNU Lesser General Public License v3.0 only
The number of mentions indicates the total number of mentions that we've tracked plus the number of user suggested alternatives.
Stars - the number of stars that a project has on GitHub. Growth - month over month growth in stars.
Activity is a relative number indicating how actively a project is being developed. Recent commits have higher weight than older ones.
For example, an activity of 9.0 indicates that a project is amongst the top 10% of the most actively developed projects that we are tracking.

SpinalHDL

Posts with mentions or reviews of SpinalHDL. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2023-03-03.
  • 1800-2023 – IEEE Standard for SystemVerilog
    1 project | news.ycombinator.com | 17 Apr 2024
    I'd love to see textual preprocessors kinda banned. Or at least done upstream and outside of the language. You can't both be and also have a textual preprocessor defined internally. It doesn't work.

    I really like what Zig and C++ are doing with `const`.

    https://ikrima.dev/dev-notes/zig/zig-metaprogramming/

    Have you looked at Spinal?

    https://github.com/SpinalHDL/SpinalHDL

    https://spinalhdl.github.io/SpinalDoc-RTD/master/index.html

  • Ao486_MiSTer: i486 core for the MiSTer FPGA gaming system
    7 projects | news.ycombinator.com | 3 Mar 2023
    Many companies do just write entire modern SoCs in straight Verilog (maybe with some autogenerated Verilog hacked in there) with no other major organization tools aside from the typical project management stuff. The load-store unit of a modern CPU alone easily exceeds 10k lines of Verilog. It's a similar thing as people who work with kernels—after all, the page table management code in a modern operating system like Linux is absolutely monstrous but still people are able to understand it well enough to be able to make the changes they need and get out.

    If you are interested in other languages which hope to make this sort of stuff easier, I'd recommend taking a look at design productivity languages like Chisel and it's associated Chipyard [1], SpinalHDL [2], and Bluespec [3]. Each of these are meant to make defining extremely complex hardware more manageable for humans and there's a lot of interesting work going on right now with each of them.

    [1] https://github.com/ucb-bar/chipyard

    [2] https://github.com/SpinalHDL/SpinalHDL

    [3] https://github.com/B-Lang-org/bsc

  • Simple skid buffer implementation
    3 projects | /r/FPGA | 10 Jan 2023
    I have just found that SpinalHDL also implemented two halves of the fully registered buffer in Stream.scala.
  • Why are there only 3 languages for FPGA development?
    5 projects | /r/FPGA | 1 Dec 2022
    Don’t forget SpinalHDL that was forked off of Chisel 2 I believe. These DSLs really leveraged the software features of Scala to help build generalised/modular systems. And are generally a quality of life improvement in the language features available.
  • SpinalHDL – A high level hardware description language based on Scala
    1 project | news.ycombinator.com | 20 Apr 2022
  • Share some github FPGA projects (bonus if they include C++, Python, or other files)
    15 projects | /r/FPGA | 14 Sep 2021
    A lot of reuse from other FOSH projects, including Litex, SpinalHDL, betrusted & u/alexforencich verilog-wishbone. Thanks to all of them :-)
  • Suggest advance project ideas
    3 projects | /r/FPGA | 3 Sep 2021
    You could try to implement a PCIe root complex for FOSS SoCs, connecting to e.g. Wishbone as the main bus. There's already some DDR3 controller (or this one) and USB Host controller out there, and even device-side PCIe, but no FOSS host-side PCIe that I know of. Probably quite a difficult job though, even sticking to the lower-speed PCIe 1.
  • Chisel/Firrtl Hardware Compiler Framework
    8 projects | news.ycombinator.com | 5 Jul 2021

myhdl

Posts with mentions or reviews of myhdl. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2024-03-07.
  • Launch HN: SiLogy (YC W24) – Chip design and verification in the cloud
    6 projects | news.ycombinator.com | 7 Mar 2024
    Thank you for tackling this critical problem for logic designiners. I think the tools available are much too old for fast paced workflows.

    From my experience attempting to get a similar workflow down for my company:

    I tried to use verilator a while back but ultimately I couldn't because it didn't have same constraints in the verilog language features that I was going to use in production. It doesn't even matter who was missing a feature, verilator or the proprietary tool, it was just about getting them to be same that caused the cognitive dissonance that I didn't want to deal with.

    I ultimately decided to move away from verilator and use the clunky proprietary tools since it was what would be used in production. Getting "verilator compatibility" seemed like a "nice to have".

    Second, the a winning local-first framework of verilator wasn't really established. You show in your example running a test from the yaml file using what looks like a bash script. Even as an experienced programmer who knows bash and sh well, I still find it very hard to write complex thoughts in it. The last high level attempt I found to bridge this gap is likely https://www.myhdl.org/ I don't know them personally, but it seemed like they had some very good thoughts on what makes writing good hardware level tests good. I think it would be worth reaching out to them if you haven't already.

    The one thing that even more critical was a way to run our tests locally. The 10-20 seconds it takes to start a docker image (best case) in the cloud is really frustrating when you are "so close to finding a bug" and you "just want to see if this one line change is going to fix it". Once we got our whole pipeline going, it would take 1-6 minutes to "start a run" since it often had to rebuild previous steps that cache large parts of the design.

    So I think you will want to see how you can help bring people's "local's first" workflows slowly into the cloud. Some tools (or just tutorials) that help you take a failing test, and run it locally and on the cloud will be really good especially as you get people to transition!

  • Why are there only 3 languages for FPGA development?
    5 projects | /r/FPGA | 1 Dec 2022
    Also PyMTL, PyRTL, and MyHDL.
  • Choice of Python HDL library
    10 projects | /r/FPGA | 25 Jul 2022
    MyHDL
  • Show HN: PyCircTools – Build digital circuits using Python
    3 projects | news.ycombinator.com | 13 Jul 2022
  • Tools for designing hardware in Python
    6 projects | /r/Python | 26 Mar 2022
    Any hardware designers here who use Python for designing hardware? There are a bunch of libraries that all seem promising MyHDL, PyRTL, PyVerilog, PyLog, PyMTL3, ... All seem to work roughly the same. Write code in Python and transpile it to VHDL/Verilog. Which of these are popular and well-maintained? MyHDL looks good but it's last release was 0.10 in 2018 and for hardware design you don't want to rely on 0.x software. Anything like Chisel for Python.
  • Design Hardware with Python
    1 project | news.ycombinator.com | 17 Mar 2022
  • FPGA engineers specialize in DSP. What is your job? How much do you get paid? What is your work day like?
    1 project | /r/ECE | 28 Jan 2022
    It is : https://www.myhdl.org/
  • Compiling Code into Silicon
    10 projects | news.ycombinator.com | 7 Dec 2021
    Personally I have fond memories of MyHDL [0], which may be seen as another "code-to-silicon" converter (or at least as the first step of a code-to-silicon workflow). I used it only briefly, and on a school project that had surprisingly little to do with actual hardware design [1], but it really felt "Pythonic" in the best possible way.

    [0]: https://www.myhdl.org/

    [1]: https://github.com/lou1306/gssi/tree/master/2pc

  • MyHDL open-source package for using Python as a hardware description
    1 project | news.ycombinator.com | 28 Nov 2021
  • GitHub - myhdl/myhdl: MyHDL is a free, open-source package for using Python as a hardware description and verification language.
    1 project | /r/Python | 28 Nov 2021

What are some alternatives?

When comparing SpinalHDL and myhdl you can also consider the following projects:

chisel - Chisel: A Modern Hardware Design Language

amaranth - A modern hardware definition language and toolchain based on Python

nmigen - A refreshed Python toolbox for building complex digital hardware. See https://gitlab.com/nmigen/nmigen

litex - Build your hardware, easily!

pymtl3 - Pymtl 3 (Mamba), an open-source Python-based hardware generation, simulation, and verification framework

chiselverify - A dynamic verification library for Chisel.

PyRTL - A collection of classes providing simple hardware specification, simulation, tracing, and testing suitable for teaching and research. Simplicity, usability, clarity, and extendability rather than performance or optimization is the overarching goal.

litepcie - Small footprint and configurable PCIe core

Pyverilog - Python-based Hardware Design Processing Toolkit for Verilog HDL

circt - Circuit IR Compilers and Tools