ulm-on-ice VS riscv-simple-sv

Compare ulm-on-ice vs riscv-simple-sv and see what are their differences.

ulm-on-ice

ULM (Ulm Lecture Machine) on ice40 (by michael-lehn)

riscv-simple-sv

A simple RISC V core for teaching (by tilk)
InfluxDB - Power Real-Time Data Analytics at Scale
Get real-time insights from all types of time series data with InfluxDB. Ingest, query, and analyze billions of data points in real-time with unbounded cardinality.
www.influxdata.com
featured
SaaSHub - Software Alternatives and Reviews
SaaSHub helps you find the best software and product alternatives
www.saashub.com
featured
ulm-on-ice riscv-simple-sv
1 2
2 145
- -
5.6 0.0
about 1 year ago over 2 years ago
SystemVerilog SystemVerilog
GNU General Public License v3.0 only BSD 3-clause "New" or "Revised" License
The number of mentions indicates the total number of mentions that we've tracked plus the number of user suggested alternatives.
Stars - the number of stars that a project has on GitHub. Growth - month over month growth in stars.
Activity is a relative number indicating how actively a project is being developed. Recent commits have higher weight than older ones.
For example, an activity of 9.0 indicates that a project is amongst the top 10% of the most actively developed projects that we are tracking.

ulm-on-ice

Posts with mentions or reviews of ulm-on-ice. We have used some of these posts to build our list of alternatives and similar projects.
  • Building your own computer with an FPGA
    1 project | /r/FPGA | 22 Oct 2023
    I used a Lattice ice40 FPGA (e.g. icebreaker) FPGA to implement a simple RISC microprocessor. For the hardware description I used SystemVerilog and an open source toolchain. The source code is on GitHub.

riscv-simple-sv

Posts with mentions or reviews of riscv-simple-sv. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2021-04-10.
  • Simple CPU cores to study?
    3 projects | /r/Verilog | 10 Apr 2021
    I published the code on GitHub: https://github.com/tilk/riscv-simple-sv
  • Need help in CPU design
    5 projects | /r/FPGA | 22 Mar 2021
    I need to run a RISC-V softcore in my FPGA. I don't need to develop the core myself, which means I can use one with good support and that is well implemented (if someone knows a good one, tell me in the comments, please). Since I'm a little new to this area I started by using a simple core: https://github.com/tilk/riscv-simple-sv, however, I'm a little lost in the steps that I need to do. First, I need to put the core in my FPGA. Then, how can I execute code in the core? Do I need to put the machine code into the ROM? And how can I do that? What if I want to debug my C programs that are supposed to run on the core?

What are some alternatives?

When comparing ulm-on-ice and riscv-simple-sv you can also consider the following projects:

FPGA-Video-Processing - Realtime video processing w/ Gaussian + Sobel Filters targeting Artix-7 FPGA

cv32e40p - CV32E40P is an in-order 4-stage RISC-V RV32IMFCXpulp CPU based on RI5CY from PULP-Platform

libsv - An open source, parameterized SystemVerilog digital hardware IP library

scr1 - SCR1 is a high-quality open-source RISC-V MCU core in Verilog

BrianHG-DDR3-Controller - DDR3 Controller v1.60, 16 read/write ports, configurable widths, priority, auto-burst size & cache on each port. VGA/HDMI multiwindow video controller with alpha-blended layers. Docs & TBs included.

gdb-stub - gdb-proxy implementation for bonfire

VeriGPU - OpenSource GPU, in Verilog, loosely based on RISC-V ISA

picoMIPS - picoMIPS processor doing affine transformation

neorv32 - :rocket: A tiny, customizable and extensible MCU-class 32-bit RISC-V soft-core CPU and microcontroller-like SoC written in platform-independent VHDL.

rhd - Tiny 16-bit RISC Core