arrowzip VS vgasim

Compare arrowzip vs vgasim and see what are their differences.

arrowzip

A ZipCPU based demonstration of the MAX1000 FPGA board (by ZipCPU)
InfluxDB - Power Real-Time Data Analytics at Scale
Get real-time insights from all types of time series data with InfluxDB. Ingest, query, and analyze billions of data points in real-time with unbounded cardinality.
www.influxdata.com
featured
SaaSHub - Software Alternatives and Reviews
SaaSHub helps you find the best software and product alternatives
www.saashub.com
featured
arrowzip vgasim
3 11
19 147
- -
0.0 1.2
almost 3 years ago about 1 year ago
Verilog Verilog
- -
The number of mentions indicates the total number of mentions that we've tracked plus the number of user suggested alternatives.
Stars - the number of stars that a project has on GitHub. Growth - month over month growth in stars.
Activity is a relative number indicating how actively a project is being developed. Recent commits have higher weight than older ones.
For example, an activity of 9.0 indicates that a project is amongst the top 10% of the most actively developed projects that we are tracking.

arrowzip

Posts with mentions or reviews of arrowzip. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2023-06-11.
  • C++ Verification Testbench Best-Practice Resources?
    7 projects | /r/FPGA | 11 Jun 2023
    I have built a lot of open-source C++ tooling for design verification. You can find a lot of my C++ models posted on my Github. Example C++ models include: UART, SPI/DSPI/QSPI Flash, SD-Card (SPI-based interface), VGA Video, Ethernet MDIO, PS/2 mouse, OLED display, SDRAM and more. (I've even simulated PLLs using C++ models ...) I have also written extensively about doing so at ZipCPU.com.
  • AXI Quad SPI 3.2 Flash programming scripts
    5 projects | /r/FPGA | 10 Jan 2022
    Here's the flash controller repo I use. There's a flash controller in there for SPI, Dual SPI, and Quad SPI. The Dual and Quad SPI controllers need a device specific startup script to get them into the right mode. This script should be fairly well explained by the comments. You should find at least one of these controllers that works for you. More recent versions of the controller have a Wishbone arbiter within them -- they're just not checked in the repo yet. (DSPI, QSPI). This makes it so the design fully supports two two Wishbone ports: a config port by which you can send any value and the memory mapped read port. (You can't use both at the same time.)
  • SDR SDRAM Controller in Verilog (MT48LC16M16)
    2 projects | /r/FPGA | 1 Feb 2021
    If it would help, here are two SDRAM controllers: The first is for a winbond W9825G6JH (4M x 4 banks x 16 bits). It was designed for the XuLA-LX25 FPGA board, although it also works on my MAX-1000 board from Arrow as well. Beware, the clock needs to be offset 90 degrees from the data. The second controller works on an ISSI IS42S16100H/IS45S16100H SDRAM. Both use Wishbone (pipeline) interfaces. If you aren't using Wishbone, you might need a converter to ... whatever bus protocol you are using.

vgasim

Posts with mentions or reviews of vgasim. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2023-06-11.
  • C++ Verification Testbench Best-Practice Resources?
    7 projects | /r/FPGA | 11 Jun 2023
    I have built a lot of open-source C++ tooling for design verification. You can find a lot of my C++ models posted on my Github. Example C++ models include: UART, SPI/DSPI/QSPI Flash, SD-Card (SPI-based interface), VGA Video, Ethernet MDIO, PS/2 mouse, OLED display, SDRAM and more. (I've even simulated PLLs using C++ models ...) I have also written extensively about doing so at ZipCPU.com.
  • Sobel algorithm in VHDL help
    2 projects | /r/FPGA | 17 May 2022
    Most of the graphical images I've seen won't fit in block RAM on on an FPGA. (Think of an 800x600 pixel image, with 8bits per pixel, and it only gets worse from there.) The image needs to be stored elsewhere. That means, you need ports associated with feeding your image to your Sobel processor. This can happen one of two ways. You can either use a external Video frame buffer reader, or you can drive the memory bus yourself. You haven't said what type of memory bus your system has, so let me instead assume the external reader.
  • Any good tips for writing IP that inputs/outputs AXI stream?
    2 projects | /r/FPGA | 6 Mar 2022
    Definitely. To see how this might build up, consider this video sprite module. At each stage, counting from the end, the READY backs up.
  • Simulate FPGA with other ICs
    2 projects | /r/FPGA | 29 Nov 2021
    Ahm ... I've certainly added C components to my test bench to create and simulate graphical interfaces. Here's one for VGA, and another for HDMI. This isn't really a "nobody does this" task. It's much easier to debug a graphical component graphically than it is to debug it with a wave file. Indeed, I owe my success in one particular video decompression example to being able to stop the simulation in real time in order to find and trace a bug.
  • More thorough resources for Verilator
    1 project | /r/FPGA | 14 Jul 2021
    Yes--I've done that with both VGA and HDMI. You can find the example here if you want to see how I did it.
  • How does one verify audio- and video signal processing designs?
    1 project | /r/FPGA | 6 Jul 2021
    Check out this page describing these techniques, or even the repository containing my simulator.
  • It's been three days and I couldn't find the problem. Any help is appreciated.
    1 project | /r/FPGA | 4 Feb 2021
    Why not try a Verilator based simulation like this one? You'd then be able to see the (broken) design on a window of your simulation host's screen, and capture a VCD file to see what's going on (or not)? You should be able to just place the VGA outputs into the VGASIM class to be able to see the image on your screen. Multiple video modes are supported, so select the one you need. (The demo works with all modes, but the memory mapped frame buffer's image is only built for 1280x1024, and hence the requirement in the demo).
  • Is there a standard way of programming (Verilog) to use things that happen two or three clock cycles in the future?
    1 project | /r/FPGA | 1 Feb 2021
    For an example, you might wish to take a look at the histogram design I posted. Other valuable examples might include the slow filter, the slow linear phase filter, the downsampler, or even the FFT Window function. I've also got a sprite video design that I'd like to write about, but haven't had the chance to test yet. All of these designs need to deal with and work around these issues with internal block RAM.
  • Need help with HDL testbench
    1 project | /r/FPGA | 25 Jan 2021
    I have a variety of C++ simulation sources that I use which can create either VGA or HDMI signals to input into a test bench--together with a couple example designs that demonstrate these test benches. They work nicely with Verilator.
  • Getting DDR3 working on Arty-Z10
    4 projects | /r/FPGA | 1 Jan 2021
    This demo shows how the AXI stream framed data can be turned to pixels and sent to a screen--should that be your wish.

What are some alternatives?

When comparing arrowzip and vgasim you can also consider the following projects:

axi_softcores

biriscv - 32-bit Superscalar RISC-V CPU

dbgbus - A collection of debugging busses developed and presented at zipcpu.com

wb2axip - Bus bridges and other odds and ends

qspiflash - A set of Wishbone Controlled SPI Flash Controllers

riscv - RISC-V CPU Core (RV32IM)

videozip - A ZipCPU SoC for the Nexys Video board supporting video functionality

dpll - A collection of phase locked loop (PLL) related projects

sdspi - SD-Card controller, using either SPI, SDIO, or eMMC interfaces

fftdemo - A demonstration showing how several components can be compsed to build a simulated spectrogram

openarty - An Open Source configuration of the Arty platform

FakePGA - Simulating Verilog designs on a microcontroller