apio VS icestorm

Compare apio vs icestorm and see what are their differences.

apio

:seedling: Open source ecosystem for open FPGA boards (by FPGAwars)

icestorm

Project IceStorm - Lattice iCE40 FPGAs Bitstream Documentation (Reverse Engineered) (by YosysHQ)
InfluxDB - Power Real-Time Data Analytics at Scale
Get real-time insights from all types of time series data with InfluxDB. Ingest, query, and analyze billions of data points in real-time with unbounded cardinality.
www.influxdata.com
featured
SaaSHub - Software Alternatives and Reviews
SaaSHub helps you find the best software and product alternatives
www.saashub.com
featured
apio icestorm
3 7
754 948
1.7% 0.7%
9.7 0.0
5 days ago 13 days ago
Verilog Python
GNU General Public License v3.0 only ISC License
The number of mentions indicates the total number of mentions that we've tracked plus the number of user suggested alternatives.
Stars - the number of stars that a project has on GitHub. Growth - month over month growth in stars.
Activity is a relative number indicating how actively a project is being developed. Recent commits have higher weight than older ones.
For example, an activity of 9.0 indicates that a project is amongst the top 10% of the most actively developed projects that we are tracking.

apio

Posts with mentions or reviews of apio. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2023-01-10.
  • Looking for help getting started with TinyFPGA
    1 project | /r/FPGA | 8 Jul 2023
    apio is a python package you drive from the command line. I didn't know somebody had done an integration with Atom. I've installed and used apio on my phone. I wouldn't really recommend doing that, but it shows what's possible.
  • Learning Verilog and FPGA
    8 projects | news.ycombinator.com | 10 Jan 2023
    I've had good experiences using Upduino 3.0 and 3.1 [0] with the IceStorm tools via apio [1]. I wrote a blog post [2] with some info on getting things set up via Linux. All you need is the Upduino board, which interfaces to your host system via USB (so no special programmer is needed).

    [0] https://tinyvision.ai/products/upduino-v3-1

    [1] https://github.com/FPGAwars/apio

    [2] https://daveho.github.io/2021/02/07/upduino3-getting-started...

  • FPGA dev board that's cheap, simple and supported by OSS toolchain
    8 projects | news.ycombinator.com | 10 Jan 2021
    if you're more comfortable with the CLI, you should take a look at the apio project (https://github.com/FPGAwars/apio). It neatly bundles all the required tools. Regarding HDLs, I'm still learning so can't offer any good advice on that.

icestorm

Posts with mentions or reviews of icestorm. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2023-01-19.
  • Framework Laptop feature requests – RISC-V Mainboard
    1 project | news.ycombinator.com | 22 Jun 2023
    I'd also vote for an FPGA Mainboard, ideally Lattice, ideally iCE40, and ideally compatible with Project IceStorm (Yosys, Arachne-pnr, and IceStorm) open source tools:

    https://github.com/YosysHQ/icestorm

    Think something similar to MiSTer FPGA -- but in a laptop form factor, and able to run all sorts of "soft" CPUs, i.e.:

    https://opencores.org/projects?expanded=Processor

  • Are there any resources detailing how synthesis happens for a particular FPGA?
    2 projects | /r/FPGA | 19 Jan 2023
  • Building the SAP-2 on an FPGA
    1 project | /r/beneater | 15 Jan 2023
  • Learning Verilog and FPGA
    8 projects | news.ycombinator.com | 10 Jan 2023
    As others have already mentioned the Lattice ice40 family is supported by OSS chains through project icestorm [0].

    There were some nice boards floating around though you may have to watch out for supply chain issues still plaguing this market. Examples:

    - icoboard: has the 8k LUTs chip, comes with soldered PMODs[1], if you get it watch out as you either need a RaspberryPI with GPIOs soldered to program it, or you purchase their USB FTDI interface in addition. See: http://icoboard.org/

    - iCEBreaker, comes with the 5k LUTs chip, has the USB-FTDI interface built-in, but you need to solder the PMODs yourself. See: https://www.crowdsupply.com/1bitsquared/icebreaker-fpga#prod...

    [0] https://github.com/YosysHQ/icestorm

  • Thoughts on OSFPGA?
    2 projects | /r/FPGA | 23 Dec 2021
    You know the best part about Lattice FPGAs? The iCE40 bitstream has been reverse-engineered. As a result, you can delete Diamond and use a completely open-source toolchain instead. It's so much cleaner, easier, and less bloated that it just shows how awful all the vendor tools have gotten.
  • Project IceStorm: open-source toolchain for Lattice iCE40 FPGAs
    3 projects | news.ycombinator.com | 27 Sep 2021
  • J2 open processor: an open source processor using the SuperH ISA
    3 projects | news.ycombinator.com | 19 Apr 2021
    >The rest of this page explains how to compile and install a "bitstream" file to implement this processor in a cheap (about $50) FPGA board, then how to build Linux for that board and boot it to a shell prompt.

    >Numato: The cheapest usable FPGA development board ($50 US) the j2 build system currently targets is the Numato Mimas v2 (also available on amazon). It contains a Xlinux "Spartan 6" LX9 FPGA that can run a J2 at 50mhz, 64 megs of SDRAM, USB2 mini-B, and a micro-sd card slot.

    Nice!

    But, it would be an additional serious "would be nice" -- if this could run on Lattice FPGA's / IceStorm Open Source Toolchain:

    https://www.latticesemi.com/Products

    http://www.clifford.at/icestorm/

    https://github.com/YosysHQ/icestorm

What are some alternatives?

When comparing apio and icestorm you can also consider the following projects:

open-fpga-verilog-tutorial - Learn how to design digital systems and synthesize them into an FPGA using only opensource tools

ghdl-yosys-plugin - VHDL synthesis (based on ghdl)

UPduino-v3.0 - UPduino 3.0: new 4 layer layout, various other improvements

prince - The Prince lightweight block cipher in Verilog.

f4pga-arch-defs - FOSS architecture definitions of FPGA hardware useful for doing PnR device generation.

dbus_ti_link_uart_verilog - Verilog dbus (TI transfer bus) implementation and bridge to UART. High-performance link with TI calculators such as TI-89.

icestudio - :snowflake: Visual editor for open FPGA boards

abc - ABC: System for Sequential Logic Synthesis and Formal Verification

edalize - An abstraction library for interfacing EDA tools

prjtrellis - Documenting the Lattice ECP5 bit-stream format.

fomu-toolchain - A collection of tools for developing for Fomu

vhdl-tutorial