Verilog sd-card

Open-source Verilog projects categorized as sd-card

Verilog sd-card Projects

  • FPGA-SDcard-Reader

    An FPGA-based SD-card reader to read files from FAT16 or FAT32 formatted SD-cards. 基于FPGA的SD卡读取器,可以从FAT16或FAT32格式的SD卡中读取文件。

  • sdspi

    SD-Card controller, using either SPI, SDIO, or eMMC interfaces

  • Project mention: C++ Verification Testbench Best-Practice Resources? | /r/FPGA | 2023-06-11

    I have built a lot of open-source C++ tooling for design verification. You can find a lot of my C++ models posted on my Github. Example C++ models include: UART, SPI/DSPI/QSPI Flash, SD-Card (SPI-based interface), VGA Video, Ethernet MDIO, PS/2 mouse, OLED display, SDRAM and more. (I've even simulated PLLs using C++ models ...) I have also written extensively about doing so at ZipCPU.com.

  • InfluxDB

    Power Real-Time Data Analytics at Scale. Get real-time insights from all types of time series data with InfluxDB. Ingest, query, and analyze billions of data points in real-time with unbounded cardinality.

    InfluxDB logo
NOTE: The open source projects on this list are ordered by number of github stars. The number of mentions indicates repo mentiontions in the last 12 Months or since we started tracking (Dec 2020).

Verilog sd-card related posts

  • Envisioning the Ultimate I2C Controller

    1 project | /r/ZipCPU | 18 Nov 2021
  • SoC FPGA design to ASIC

    4 projects | /r/FPGA | 22 Jul 2021

Index

Project Stars
1 FPGA-SDcard-Reader 213
2 sdspi 138

Sponsored
SaaSHub - Software Alternatives and Reviews
SaaSHub helps you find the best software and product alternatives
www.saashub.com