wavedrom VS verilator

Compare wavedrom vs verilator and see what are their differences.

wavedrom

:ocean: Digital timing diagram rendering engine (by wavedrom)

verilator

Verilator open-source SystemVerilog simulator and lint system (by verilator)
SurveyJS - Open-Source JSON Form Builder to Create Dynamic Forms Right in Your App
With SurveyJS form UI libraries, you can build and style forms in a fully-integrated drag & drop form builder, render them in your JS app, and store form submission data in any backend, inc. PHP, ASP.NET Core, and Node.js.
surveyjs.io
featured
InfluxDB - Power Real-Time Data Analytics at Scale
Get real-time insights from all types of time series data with InfluxDB. Ingest, query, and analyze billions of data points in real-time with unbounded cardinality.
www.influxdata.com
featured
wavedrom verilator
24 11
2,768 2,098
1.4% 2.0%
5.4 9.8
about 1 month ago 5 days ago
JavaScript C++
MIT License GNU Lesser General Public License v3.0 only
The number of mentions indicates the total number of mentions that we've tracked plus the number of user suggested alternatives.
Stars - the number of stars that a project has on GitHub. Growth - month over month growth in stars.
Activity is a relative number indicating how actively a project is being developed. Recent commits have higher weight than older ones.
For example, an activity of 9.0 indicates that a project is amongst the top 10% of the most actively developed projects that we are tracking.

wavedrom

Posts with mentions or reviews of wavedrom. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2023-06-15.

verilator

Posts with mentions or reviews of verilator. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2023-10-11.

What are some alternatives?

When comparing wavedrom and verilator you can also consider the following projects:

plantuml - Generate diagrams from textual description

HLS-Tiny-Tutorials - This is forked from Xilinx HLS-Tiny-Tutorial. I'm learning HLS and adding Verilator testbench to verify the generated RTL

pandoc - Universal markup converter

riscv_vhdl - Portable RISC-V System-on-Chip implementation: RTL, debugger and simulators

Visual Studio Code - Visual Studio Code

cva6 - The CORE-V CVA6 is an Application class 6-stage RISC-V CPU capable of booting Linux

bitfield - :cake: bit field diagram renderer

signalflip-js - verilator testbench w/ Javascript using N-API

Mermaid - Edit, preview and share mermaid charts/diagrams. New implementation of the live editor.

Surelog - SystemVerilog 2017 Pre-processor, Parser, Elaborator, UHDM Compiler. Provides IEEE Design/TB C/C++ VPI and Python AST API. Compiles on Linux gcc, Windows msys2-gcc & msvc, OsX

Asciidoctor - :gem: A fast, open source text processor and publishing toolchain, written in Ruby, for converting AsciiDoc content to HTML 5, DocBook 5, and other formats.

sphinxcontrib-hdl-diagrams - Sphinx Extension which generates various types of diagrams from Verilog code.