icestudio VS apio

Compare icestudio vs apio and see what are their differences.

apio

:seedling: Open source ecosystem for open FPGA boards (by FPGAwars)
Our great sponsors
  • SurveyJS - Open-Source JSON Form Builder to Create Dynamic Forms Right in Your App
  • WorkOS - The modern identity platform for B2B SaaS
  • InfluxDB - Power Real-Time Data Analytics at Scale
icestudio apio
10 3
1,654 753
1.8% 3.5%
8.8 9.7
3 days ago 11 days ago
JavaScript Verilog
GNU General Public License v3.0 only GNU General Public License v3.0 only
The number of mentions indicates the total number of mentions that we've tracked plus the number of user suggested alternatives.
Stars - the number of stars that a project has on GitHub. Growth - month over month growth in stars.
Activity is a relative number indicating how actively a project is being developed. Recent commits have higher weight than older ones.
For example, an activity of 9.0 indicates that a project is amongst the top 10% of the most actively developed projects that we are tracking.

icestudio

Posts with mentions or reviews of icestudio. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2023-03-03.
  • Ao486_MiSTer: i486 core for the MiSTer FPGA gaming system
    7 projects | news.ycombinator.com | 3 Mar 2023
  • Icestudio: Drag and Drop FPGA programming and learning
    1 project | /r/hypeurls | 19 Oct 2022
    4 projects | news.ycombinator.com | 19 Oct 2022
    In fact that docs are out of date and even more boards are supported and you can find the list directly in icestudio menu. If you want to check it beforehand, i think it's possible to see the list here in source code:

    https://github.com/FPGAwars/icestudio/tree/develop/app/resou...

  • Comprehensive list of FPGA development boards
    2 projects | news.ycombinator.com | 19 Oct 2022
    This is crazy helpful for beginners: https://github.com/FPGAwars/icestudio

    They have drag and drop visual gates and cores with very well documented examples.

  • How can I convert an NWJS app back to a normal web app?
    1 project | /r/nwjs | 16 Jul 2022
    This is the project: https://github.com/FPGAwars/icestudio
  • MEGA65 – highly advanced C64 and C65 compatible 8-bit computer
    3 projects | news.ycombinator.com | 30 Sep 2021
    I am slowly moving off my retro computing hobby. The last straw was recent chip shortage and crazy prices. I bought myself a copy of "The 8088 Project Book" and I wanted to build the presented 8088 breadboard computer. Guess what? The only factory that was building compatible 8088/8086 chips caught fire (Renesas factory fire). I had to order 20 year old chips for the price x2 of the new chips. But not only that, some chips are either unavailable or crazy expensive due to a lot of recent interests in retro-comp.

    In other way, the hobby became unreasonably expensive for me. So I decided to move my interests into FPGA. The fun is almost the same, but the cost is way lower (you only need to buy a good board and a book, and you are set up). I started with this extremely simple IDE: https://github.com/FPGAwars/icestudio

    As for this build, I have mixed feelings about using FPGAs to revive old computers. Can't we create something new out of it? It would be fun to have a _modern_ FPGA based SBC with easy to program graphics (memory mapped) and with simple device interface (I think USB is way too complicated for hobbyists). Just something powerful enough to create games, yet simple enough so that teenager can write a simple OS in his spare time.

apio

Posts with mentions or reviews of apio. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2023-01-10.
  • Looking for help getting started with TinyFPGA
    1 project | /r/FPGA | 8 Jul 2023
    apio is a python package you drive from the command line. I didn't know somebody had done an integration with Atom. I've installed and used apio on my phone. I wouldn't really recommend doing that, but it shows what's possible.
  • Learning Verilog and FPGA
    8 projects | news.ycombinator.com | 10 Jan 2023
    I've had good experiences using Upduino 3.0 and 3.1 [0] with the IceStorm tools via apio [1]. I wrote a blog post [2] with some info on getting things set up via Linux. All you need is the Upduino board, which interfaces to your host system via USB (so no special programmer is needed).

    [0] https://tinyvision.ai/products/upduino-v3-1

    [1] https://github.com/FPGAwars/apio

    [2] https://daveho.github.io/2021/02/07/upduino3-getting-started...

  • FPGA dev board that's cheap, simple and supported by OSS toolchain
    8 projects | news.ycombinator.com | 10 Jan 2021
    if you're more comfortable with the CLI, you should take a look at the apio project (https://github.com/FPGAwars/apio). It neatly bundles all the required tools. Regarding HDLs, I'm still learning so can't offer any good advice on that.

What are some alternatives?

When comparing icestudio and apio you can also consider the following projects:

open-fpga-verilog-tutorial - Learn how to design digital systems and synthesize them into an FPGA using only opensource tools

platformio-vscode-ide - PlatformIO IDE for VSCode: The next generation integrated development environment for IoT

UPduino-v3.0 - UPduino 3.0: new 4 layer layout, various other improvements

multiple-blocks-plugin - An implementation of @wordpress/create-block to support multiple blocks.

f4pga-arch-defs - FOSS architecture definitions of FPGA hardware useful for doing PnR device generation.

edalize - An abstraction library for interfacing EDA tools

3D-Redstone-Simulator - A web app to simulate redstone circuitry (boolean logic) in a minecraft-like 3D environment.

fomu-toolchain - A collection of tools for developing for Fomu

Main_MiSTer - Main MiSTer binary and Wiki

nmigen-tutorial - A tutorial for using nmigen