hVHDL_example_project VS hVHDL_fpga_interconnect

Compare hVHDL_example_project vs hVHDL_fpga_interconnect and see what are their differences.

hVHDL_example_project

An example project which uses many of the ideas and features of the hVHDL libraries like fixed and floating point math modules and has build scripts for most common FPGAs (by hVHDL)

hVHDL_fpga_interconnect

interconnecting bus written in VHDL for accessing data in FPGA modules (by hVHDL)
InfluxDB - Power Real-Time Data Analytics at Scale
Get real-time insights from all types of time series data with InfluxDB. Ingest, query, and analyze billions of data points in real-time with unbounded cardinality.
www.influxdata.com
featured
SaaSHub - Software Alternatives and Reviews
SaaSHub helps you find the best software and product alternatives
www.saashub.com
featured
hVHDL_example_project hVHDL_fpga_interconnect
10 3
20 5
- -
8.9 3.5
about 2 months ago 10 months ago
VHDL VHDL
MIT License MIT License
The number of mentions indicates the total number of mentions that we've tracked plus the number of user suggested alternatives.
Stars - the number of stars that a project has on GitHub. Growth - month over month growth in stars.
Activity is a relative number indicating how actively a project is being developed. Recent commits have higher weight than older ones.
For example, an activity of 9.0 indicates that a project is amongst the top 10% of the most actively developed projects that we are tracking.

hVHDL_example_project

Posts with mentions or reviews of hVHDL_example_project. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2023-01-27.

hVHDL_fpga_interconnect

Posts with mentions or reviews of hVHDL_fpga_interconnect. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2022-07-19.

What are some alternatives?

When comparing hVHDL_example_project and hVHDL_fpga_interconnect you can also consider the following projects:

pymtl3 - Pymtl 3 (Mamba), an open-source Python-based hardware generation, simulation, and verification framework

TDP-11

hVHDL_floating_point - high level VHDL floating point library for synthesis in fpga

migen - A Python toolbox for building complex digital hardware

magma - magma circuits

PipelineC - A C-like hardware description language (HDL) adding high level synthesis(HLS)-like automatic pipelining as a language construct/compiler feature.

litex - Build your hardware, easily!

rohd - The Rapid Open Hardware Development (ROHD) framework is a framework for describing and verifying hardware in the Dart programming language.

myhdl - The MyHDL development repository

hVHDL_fixed_point - VHDL library of high abstraction level synthesizable mathematical functions for multiplication, division and sin/cos functionalities and abc to dq transforms.

vunit - VUnit is a unit testing framework for VHDL/SystemVerilog