fpga-fft VS DSP

Compare fpga-fft vs DSP and see what are their differences.

fpga-fft

A highly optimized streaming FFT core based on Bailey's 4-step large FFT algorithm (by owocomm-0)

DSP

Digital Signal Processing Library for Xilinx Platforms. Digital IIR/FIR filters, GHz rate non-linear pulse fitting, and data acquisition systems. (by Vestaia)
InfluxDB - Power Real-Time Data Analytics at Scale
Get real-time insights from all types of time series data with InfluxDB. Ingest, query, and analyze billions of data points in real-time with unbounded cardinality.
www.influxdata.com
featured
SaaSHub - Software Alternatives and Reviews
SaaSHub helps you find the best software and product alternatives
www.saashub.com
featured
fpga-fft DSP
1 1
87 0
- -
0.0 10.0
about 3 years ago almost 2 years ago
VHDL VHDL
GNU General Public License v3.0 or later -
The number of mentions indicates the total number of mentions that we've tracked plus the number of user suggested alternatives.
Stars - the number of stars that a project has on GitHub. Growth - month over month growth in stars.
Activity is a relative number indicating how actively a project is being developed. Recent commits have higher weight than older ones.
For example, an activity of 9.0 indicates that a project is amongst the top 10% of the most actively developed projects that we are tracking.

fpga-fft

Posts with mentions or reviews of fpga-fft. We have used some of these posts to build our list of alternatives and similar projects.

DSP

Posts with mentions or reviews of DSP. We have used some of these posts to build our list of alternatives and similar projects.
  • Data not being processed by custom Verilog filtering module?
    1 project | /r/FPGA | 8 Jun 2023
    Right now I have a trapezoidal filter core (written in Verilog) created by a colleague that I know has been used with success in the past. So, starting from a simple ADC to DAC project provided by another Red Pitaya user, Pavel (here), I placed the core into the block design in between the ADC and DAC as such, let Vivado do its thing, and generated a bitstream without error (note that trap_0 has S00_AXIS data width 16, so it only takes the 16 LSB from the ADC, which I believe is from adc_dat_a_i). All of the source code for the trapezoidal filter core can be found on GitHub (top core and dependencies).

What are some alternatives?

When comparing fpga-fft and DSP you can also consider the following projects:

forth-cpu - A Forth CPU and System on a Chip, based on the J1, written in VHDL

awesome-musicdsp - A curated list of my favourite music DSP and audio programming resources

SoC - Github Repo for Embedded FPGA course by Vincent Claes

gnuradio - GNU Radio – the Free and Open Software Radio Ecosystem

neorv32 - :rocket: A tiny, customizable and extensible MCU-class 32-bit RISC-V soft-core CPU and microcontroller-like SoC written in platform-independent VHDL.

librosa - Python library for audio and music analysis

fpga_torture - 🔥 Technology-agnostic FPGA stress-test: maximum logic utilization and high dynamic power consumption.

inspectrum - Radio signal analyser

essentia - C++ library for audio and music analysis, description and synthesis, including Python bindings

faust - Functional programming language for signal processing and sound synthesis