Surelog VS verilator

Compare Surelog vs verilator and see what are their differences.

Surelog

SystemVerilog 2017 Pre-processor, Parser, Elaborator, UHDM Compiler. Provides IEEE Design/TB C/C++ VPI and Python AST API. Compiles on Linux gcc, Windows msys2-gcc & msvc, OsX (by alainmarcel)

verilator

Verilator open-source SystemVerilog simulator and lint system (by verilator)
InfluxDB - Power Real-Time Data Analytics at Scale
Get real-time insights from all types of time series data with InfluxDB. Ingest, query, and analyze billions of data points in real-time with unbounded cardinality.
www.influxdata.com
featured
SaaSHub - Software Alternatives and Reviews
SaaSHub helps you find the best software and product alternatives
www.saashub.com
featured
Surelog verilator
1 11
25 2,118
- 3.0%
9.4 9.8
3 months ago 6 days ago
C++ C++
Apache License 2.0 GNU Lesser General Public License v3.0 only
The number of mentions indicates the total number of mentions that we've tracked plus the number of user suggested alternatives.
Stars - the number of stars that a project has on GitHub. Growth - month over month growth in stars.
Activity is a relative number indicating how actively a project is being developed. Recent commits have higher weight than older ones.
For example, an activity of 9.0 indicates that a project is amongst the top 10% of the most actively developed projects that we are tracking.

Surelog

Posts with mentions or reviews of Surelog. We have used some of these posts to build our list of alternatives and similar projects.

We haven't tracked posts mentioning Surelog yet.
Tracking mentions began in Dec 2020.

verilator

Posts with mentions or reviews of verilator. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2023-10-11.

What are some alternatives?

When comparing Surelog and verilator you can also consider the following projects:

verible - Verible is a suite of SystemVerilog developer tools, including a parser, style-linter, formatter and language server

wavedrom - :ocean: Digital timing diagram rendering engine

slang - SystemVerilog compiler and language services

HLS-Tiny-Tutorials - This is forked from Xilinx HLS-Tiny-Tutorial. I'm learning HLS and adding Verilator testbench to verify the generated RTL

cudf - cuDF - GPU DataFrame Library

riscv_vhdl - Portable RISC-V System-on-Chip implementation: RTL, debugger and simulators

cva6 - The CORE-V CVA6 is an Application class 6-stage RISC-V CPU capable of booting Linux

signalflip-js - verilator testbench w/ Javascript using N-API

sphinxcontrib-hdl-diagrams - Sphinx Extension which generates various types of diagrams from Verilog code.

buildit - Online demo without installing at - https://buildit.so/tryit

mewa - Compiler-compiler for writing compiler frontends with Lua

naja-verilog - A standalone structural (gate-level) verilog parser