HDL_Converter VS cocotb-bus

Compare HDL_Converter vs cocotb-bus and see what are their differences.

HDL_Converter

A simple tool that can be used to convert the header syntax of a verilog module or VHDL entity to an instantiation syntax and create testbench structures (top level and verify). The project is aimed at removing the need for tedious refactoring of module headers when instantiating modules or verifying individual modules with testbenches. (by m47812)

cocotb-bus

Pre-packaged testbenching tools and reusable bus interfaces for cocotb (by cocotb)
InfluxDB - Power Real-Time Data Analytics at Scale
Get real-time insights from all types of time series data with InfluxDB. Ingest, query, and analyze billions of data points in real-time with unbounded cardinality.
www.influxdata.com
featured
SaaSHub - Software Alternatives and Reviews
SaaSHub helps you find the best software and product alternatives
www.saashub.com
featured
HDL_Converter cocotb-bus
1 1
5 46
- -
0.0 3.7
about 2 years ago 2 months ago
C# Python
GNU Affero General Public License v3.0 GNU General Public License v3.0 or later
The number of mentions indicates the total number of mentions that we've tracked plus the number of user suggested alternatives.
Stars - the number of stars that a project has on GitHub. Growth - month over month growth in stars.
Activity is a relative number indicating how actively a project is being developed. Recent commits have higher weight than older ones.
For example, an activity of 9.0 indicates that a project is amongst the top 10% of the most actively developed projects that we are tracking.

HDL_Converter

Posts with mentions or reviews of HDL_Converter. We have used some of these posts to build our list of alternatives and similar projects.

cocotb-bus

Posts with mentions or reviews of cocotb-bus. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2021-11-07.
  • Cocotb
    3 projects | /r/FPGA | 7 Nov 2021
    The cocotb bus repo has many of the useful drivers and monitors. https://github.com/cocotb/cocotb-bus/tree/master/src/cocotb_bus. There is also https://github.com/alexforencich/cocotbext-axi for some relevant AXI examples that you can also just use.

What are some alternatives?

When comparing HDL_Converter and cocotb-bus you can also consider the following projects:

Symbolica - Symbolica's open-source symbolic execution engine. [Moved to: https://github.com/Symbolica/Symbolica]

cocotbext-axi - AXI interface modules for Cocotb

clash-ghc - Haskell to VHDL/Verilog/SystemVerilog compiler

cocotb - cocotb, a coroutine based cosimulation library for writing VHDL and Verilog testbenches in Python

vunit - VUnit is a unit testing framework for VHDL/SystemVerilog

sphinxcontrib-hdl-diagrams - Sphinx Extension which generates various types of diagrams from Verilog code.

uLab-system-builder - This program generates project settings (such as pin assignments) and basic Verilog code for the μLab Kiwi FPGA development board

hdl_checker - Repurposing existing HDL tools to help writing better code

vcdvcd - Python Verilog value change dump (VCD) parser library + the nifty vcdcat VCD command line pretty printer.

turbobus - TurboBus is an opinionated implementation of Command Responsibility Segregation pattern in python.

fusesoc - Package manager and build abstraction tool for FPGA/ASIC development