myhdl VS MicroPython

Compare myhdl vs MicroPython and see what are their differences.

MicroPython

MicroPython - a lean and efficient Python implementation for microcontrollers and constrained systems (by micropython)
InfluxDB - Power Real-Time Data Analytics at Scale
Get real-time insights from all types of time series data with InfluxDB. Ingest, query, and analyze billions of data points in real-time with unbounded cardinality.
www.influxdata.com
featured
SaaSHub - Software Alternatives and Reviews
SaaSHub helps you find the best software and product alternatives
www.saashub.com
featured
myhdl MicroPython
15 197
1,006 18,387
1.2% 1.0%
5.1 9.8
2 months ago 4 days ago
Python C
GNU Lesser General Public License v3.0 only MIT
The number of mentions indicates the total number of mentions that we've tracked plus the number of user suggested alternatives.
Stars - the number of stars that a project has on GitHub. Growth - month over month growth in stars.
Activity is a relative number indicating how actively a project is being developed. Recent commits have higher weight than older ones.
For example, an activity of 9.0 indicates that a project is amongst the top 10% of the most actively developed projects that we are tracking.

myhdl

Posts with mentions or reviews of myhdl. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2024-03-07.
  • Launch HN: SiLogy (YC W24) – Chip design and verification in the cloud
    6 projects | news.ycombinator.com | 7 Mar 2024
    Thank you for tackling this critical problem for logic designiners. I think the tools available are much too old for fast paced workflows.

    From my experience attempting to get a similar workflow down for my company:

    I tried to use verilator a while back but ultimately I couldn't because it didn't have same constraints in the verilog language features that I was going to use in production. It doesn't even matter who was missing a feature, verilator or the proprietary tool, it was just about getting them to be same that caused the cognitive dissonance that I didn't want to deal with.

    I ultimately decided to move away from verilator and use the clunky proprietary tools since it was what would be used in production. Getting "verilator compatibility" seemed like a "nice to have".

    Second, the a winning local-first framework of verilator wasn't really established. You show in your example running a test from the yaml file using what looks like a bash script. Even as an experienced programmer who knows bash and sh well, I still find it very hard to write complex thoughts in it. The last high level attempt I found to bridge this gap is likely https://www.myhdl.org/ I don't know them personally, but it seemed like they had some very good thoughts on what makes writing good hardware level tests good. I think it would be worth reaching out to them if you haven't already.

    The one thing that even more critical was a way to run our tests locally. The 10-20 seconds it takes to start a docker image (best case) in the cloud is really frustrating when you are "so close to finding a bug" and you "just want to see if this one line change is going to fix it". Once we got our whole pipeline going, it would take 1-6 minutes to "start a run" since it often had to rebuild previous steps that cache large parts of the design.

    So I think you will want to see how you can help bring people's "local's first" workflows slowly into the cloud. Some tools (or just tutorials) that help you take a failing test, and run it locally and on the cloud will be really good especially as you get people to transition!

  • Why are there only 3 languages for FPGA development?
    5 projects | /r/FPGA | 1 Dec 2022
    Also PyMTL, PyRTL, and MyHDL.
  • Choice of Python HDL library
    10 projects | /r/FPGA | 25 Jul 2022
    MyHDL
  • Show HN: PyCircTools – Build digital circuits using Python
    3 projects | news.ycombinator.com | 13 Jul 2022
  • Tools for designing hardware in Python
    6 projects | /r/Python | 26 Mar 2022
    Any hardware designers here who use Python for designing hardware? There are a bunch of libraries that all seem promising MyHDL, PyRTL, PyVerilog, PyLog, PyMTL3, ... All seem to work roughly the same. Write code in Python and transpile it to VHDL/Verilog. Which of these are popular and well-maintained? MyHDL looks good but it's last release was 0.10 in 2018 and for hardware design you don't want to rely on 0.x software. Anything like Chisel for Python.
  • Design Hardware with Python
    1 project | news.ycombinator.com | 17 Mar 2022
  • FPGA engineers specialize in DSP. What is your job? How much do you get paid? What is your work day like?
    1 project | /r/ECE | 28 Jan 2022
    It is : https://www.myhdl.org/
  • Compiling Code into Silicon
    10 projects | news.ycombinator.com | 7 Dec 2021
    Personally I have fond memories of MyHDL [0], which may be seen as another "code-to-silicon" converter (or at least as the first step of a code-to-silicon workflow). I used it only briefly, and on a school project that had surprisingly little to do with actual hardware design [1], but it really felt "Pythonic" in the best possible way.

    [0]: https://www.myhdl.org/

    [1]: https://github.com/lou1306/gssi/tree/master/2pc

  • MyHDL open-source package for using Python as a hardware description
    1 project | news.ycombinator.com | 28 Nov 2021
  • GitHub - myhdl/myhdl: MyHDL is a free, open-source package for using Python as a hardware description and verification language.
    1 project | /r/Python | 28 Nov 2021

MicroPython

Posts with mentions or reviews of MicroPython. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2024-02-07.
  • RustPython
    14 projects | news.ycombinator.com | 7 Feb 2024
    Just putting my hand up to say that MicroPython is awesome (and runs on the RP2040). https://micropython.org
  • Lilygo T-Deck: 2.8-inch IPS LCD display, mini keyboard, and ESP32 processor
    5 projects | news.ycombinator.com | 3 Jan 2024
    Gah, I just ordered one on impulse [1]. I've wanted to build out a WiFi PDA for quite some time now and I like this hardware.

    I'm quite liking the idea of running tulip MicroPython [2] on it, or going back to pure MicroPython [3] and writing some drivers. Apparently something like ampy can be used to upload/download Python files [4].

    Threads could be quite exciting for running multiple programs at once [5], although I have no idea what it means for two programs to fight over GPIO! It does seem as though MicroPython can only utilise a single core [6].

    [1] https://www.lilygo.cc/products/t-deck?variant=43087936487605

    [2] https://github.com/bwhitman/tulipcc/tree/main/tulip/tdeck

    [3] https://docs.micropython.org/en/latest/esp32/tutorial/intro....

    [4] https://www.digikey.co.uk/en/maker/projects/micropython-basi...

    [5] https://docs.micropython.org/en/latest/library/_thread.html

    [6] https://github.com/micropython/micropython/issues/8197

  • MicroPython v1.22.0
    1 project | news.ycombinator.com | 28 Dec 2023
  • MicroPython VS PikaPython - a user suggested alternative
    2 projects | 25 Dec 2023
  • about microprocessor
    1 project | /r/AskElectronics | 10 Dec 2023
    If you really want to engage in the travesty that is shoehorning a high level scripting language into an environment that has 512 bytes of RAM and less clock cycles than an electric toothbrush, there is micropython.
  • CAN Bus with MicroPython
    2 projects | /r/raspberrypipico | 6 Dec 2023
    There is some work to implement a common CAN interface in micropython but it's some way off yet: https://github.com/micropython/micropython/pull/13149
  • Show HN: MicroLua – Lua for the RP2040 Microcontroller
    8 projects | news.ycombinator.com | 3 Nov 2023
    https://github.com/raspberrypi/pico-sdk/ links to a PDF about connecting to the interwebs with a pi pico.

    micropython/micropython//ports/rp2/boards/RPI_PICO_W: https://github.com/micropython/micropython/tree/master/ports...

    raspberrypi/pico-sdk /lib: btstack, cyw43-driver, lwip, mbedtls, tinyusb https://github.com/raspberrypi/pico-sdk/tree/master/lib

    raspberrypi/pico-examples//pico_w/wifi/access_point/picow_access_point.c:

    https://github.com/raspberrypi/pico-examples/blob/master/pic...

    There's an iperf opkg pkg, or is it just netperf (which works with fluent)?

    raspberrypi/pico-examples//pico_w/wifi/iperf/picow_iperf.c:

  • WebUSB Support for RP2040
    3 projects | news.ycombinator.com | 24 Oct 2023
  • Ask HN: Embedded Scripting Options for Microcontrollers
    1 project | news.ycombinator.com | 14 Sep 2023
    Needed for hobby project, maintained by very small team. Haven't decided on specific microcontroller. Needed for general bit-banging, speed and code size are not priorities.

    So far have considered MicroPython [0] [1] and Lua [2] [3], but open for suggestions for others.

    What are experiences?

    [0] https://micropython.org/

  • Arduino or python
    1 project | /r/AskProgramming | 12 Jul 2023
    Python is also a general-purpose programming language. It's typically used on desktop / laptop computers. But Micropython is a variant that can be used to program embedded devices.

What are some alternatives?

When comparing myhdl and MicroPython you can also consider the following projects:

chisel - Chisel: A Modern Hardware Design Language

circuitpython - CircuitPython - a Python implementation for teaching coding with microcontrollers

nmigen - A refreshed Python toolbox for building complex digital hardware. See https://gitlab.com/nmigen/nmigen

TinyGo - Go compiler for small places. Microcontrollers, WebAssembly (WASM/WASI), and command-line tools. Based on LLVM.

pymtl3 - Pymtl 3 (Mamba), an open-source Python-based hardware generation, simulation, and verification framework

PlatformIO - Your Gateway to Embedded Software Development Excellence :alien:

PyRTL - A collection of classes providing simple hardware specification, simulation, tracing, and testing suitable for teaching and research. Simplicity, usability, clarity, and extendability rather than performance or optimization is the overarching goal.

esp-idf - Espressif IoT Development Framework. Official development framework for Espressif SoCs.

Pyverilog - Python-based Hardware Design Processing Toolkit for Verilog HDL

Espruino - The Espruino JavaScript interpreter - Official Repo

SpinalHDL - Scala based HDL

jerryscript - Ultra-lightweight JavaScript engine for the Internet of Things.