icestorm VS f4pga-arch-defs

Compare icestorm vs f4pga-arch-defs and see what are their differences.

icestorm

Project IceStorm - Lattice iCE40 FPGAs Bitstream Documentation (Reverse Engineered) (by YosysHQ)
InfluxDB - Power Real-Time Data Analytics at Scale
Get real-time insights from all types of time series data with InfluxDB. Ingest, query, and analyze billions of data points in real-time with unbounded cardinality.
www.influxdata.com
featured
SaaSHub - Software Alternatives and Reviews
SaaSHub helps you find the best software and product alternatives
www.saashub.com
featured
icestorm f4pga-arch-defs
7 19
952 249
1.2% 1.6%
0.0 7.7
24 days ago about 8 hours ago
Python Jupyter Notebook
ISC License ISC License
The number of mentions indicates the total number of mentions that we've tracked plus the number of user suggested alternatives.
Stars - the number of stars that a project has on GitHub. Growth - month over month growth in stars.
Activity is a relative number indicating how actively a project is being developed. Recent commits have higher weight than older ones.
For example, an activity of 9.0 indicates that a project is amongst the top 10% of the most actively developed projects that we are tracking.

icestorm

Posts with mentions or reviews of icestorm. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2023-01-19.
  • Framework Laptop feature requests – RISC-V Mainboard
    1 project | news.ycombinator.com | 22 Jun 2023
    I'd also vote for an FPGA Mainboard, ideally Lattice, ideally iCE40, and ideally compatible with Project IceStorm (Yosys, Arachne-pnr, and IceStorm) open source tools:

    https://github.com/YosysHQ/icestorm

    Think something similar to MiSTer FPGA -- but in a laptop form factor, and able to run all sorts of "soft" CPUs, i.e.:

    https://opencores.org/projects?expanded=Processor

  • Are there any resources detailing how synthesis happens for a particular FPGA?
    2 projects | /r/FPGA | 19 Jan 2023
  • Building the SAP-2 on an FPGA
    1 project | /r/beneater | 15 Jan 2023
  • Learning Verilog and FPGA
    8 projects | news.ycombinator.com | 10 Jan 2023
    As others have already mentioned the Lattice ice40 family is supported by OSS chains through project icestorm [0].

    There were some nice boards floating around though you may have to watch out for supply chain issues still plaguing this market. Examples:

    - icoboard: has the 8k LUTs chip, comes with soldered PMODs[1], if you get it watch out as you either need a RaspberryPI with GPIOs soldered to program it, or you purchase their USB FTDI interface in addition. See: http://icoboard.org/

    - iCEBreaker, comes with the 5k LUTs chip, has the USB-FTDI interface built-in, but you need to solder the PMODs yourself. See: https://www.crowdsupply.com/1bitsquared/icebreaker-fpga#prod...

    [0] https://github.com/YosysHQ/icestorm

  • Thoughts on OSFPGA?
    2 projects | /r/FPGA | 23 Dec 2021
    You know the best part about Lattice FPGAs? The iCE40 bitstream has been reverse-engineered. As a result, you can delete Diamond and use a completely open-source toolchain instead. It's so much cleaner, easier, and less bloated that it just shows how awful all the vendor tools have gotten.
  • Project IceStorm: open-source toolchain for Lattice iCE40 FPGAs
    3 projects | news.ycombinator.com | 27 Sep 2021
  • J2 open processor: an open source processor using the SuperH ISA
    3 projects | news.ycombinator.com | 19 Apr 2021
    >The rest of this page explains how to compile and install a "bitstream" file to implement this processor in a cheap (about $50) FPGA board, then how to build Linux for that board and boot it to a shell prompt.

    >Numato: The cheapest usable FPGA development board ($50 US) the j2 build system currently targets is the Numato Mimas v2 (also available on amazon). It contains a Xlinux "Spartan 6" LX9 FPGA that can run a J2 at 50mhz, 64 megs of SDRAM, USB2 mini-B, and a micro-sd card slot.

    Nice!

    But, it would be an additional serious "would be nice" -- if this could run on Lattice FPGA's / IceStorm Open Source Toolchain:

    https://www.latticesemi.com/Products

    http://www.clifford.at/icestorm/

    https://github.com/YosysHQ/icestorm

f4pga-arch-defs

Posts with mentions or reviews of f4pga-arch-defs. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2023-01-10.
  • Learning Verilog and FPGA
    8 projects | news.ycombinator.com | 10 Jan 2023
    QuickLogic [1] seems to support and target the open tool environment for their devices -especially the F4PGA [2] toolkit (known earlier as symbiflow). Others have mentioned Lattice FPGAs. But the impression I got from Lattice is that they like the development of open tools, but don't want to invest too much in it. QuickLogic on the other hand, contribute code and design directly to F4PGA project and allied ones like Migen.

    [1]: https://www.quicklogic.com/software/qorc-mcu-efpga-fpga-open...

    [2]: https://f4pga.org/

  • OpenPOWER Foundation Demoes the LibreBMC Power-Based Open-Source BMC
    3 projects | news.ycombinator.com | 23 Oct 2022
    I note that F4PGA (formerly SymbiFlow) Project X-Ray folks are documenting Xilinx FPGAs, hopefully you will be able to replace your use of Vivado at some point:

    https://f4pga.org/

  • Intel announces new FPGA families
    1 project | news.ycombinator.com | 30 Sep 2022
    FPGAs would be so much more useful if AMD(Xilinx)/Intel(Altera) just gave up trying to build there own tools and instead supported F4FPGA: https://f4pga.org
  • Arduino IDE 2.0
    4 projects | news.ycombinator.com | 28 Sep 2022
    Have you looked at F4PGA? They are working on exactly that.

    https://f4pga.org/

  • Embedded Systems Weekly #119
    2 projects | dev.to | 23 Sep 2022
    F4PGA In the world of FPGA tools, it is refreshing to be able to use the suite of open source tools provided by F4PGA. For now, it supports only Xilinx 7-Series, Lattice iCE40, Lattice ECP5 FPGAs and QuickLogic EOS S3 but the team behind the projet is working to support more targets.
  • Hacker News top posts: Sep 16, 2022
    2 projects | /r/hackerdigest | 16 Sep 2022
    F4PGA: Open FPGA Tooling: Xilinx 7-Series, Lattice iCE40/ECP5, QuickLogic EOS S3\ (19 comments)
  • F4PGA: Open FPGA Tooling: Xilinx 7-Series, Lattice iCE40/ECP5, QuickLogic EOS S3
    1 project | /r/hypeurls | 15 Sep 2022
    1 project | news.ycombinator.com | 15 Sep 2022
  • A working FPGA toolchain on Apple Silicon
    1 project | /r/FPGA | 12 Sep 2022
    In addition to open-source FPGA toolchains (e.g., https://f4pga.org/, GHDL, Verilator, Yosys, IceStudio, etc.), you _can_ actually run vendor/commercial tools (e.g., AMD/Xilinx Vivado) on M1/M2 macs, through virtualization (VM, or containers). Until quite recently, the only available option to run x86_64 on macOS/arm64 was QEMU (e.g., UTM, docker, lima). It worked but was pretty slow, especially for mammoths like Vivado, larger designs, and in case you needed the GUI. With the release of Ventura (currently at final betas), it’s possible to run Linux x86 binaries using rosetta 2, which is way faster than QEMU. I currently run Vivado 2022.1 on macOS/M1 Ventura, using UTM (https://mac.getutm.app/). It’s 100% usable and feels even faster and snappier than my Linux machine!
  • Parallel Programming for FPGAs
    3 projects | news.ycombinator.com | 1 Aug 2022
    There are some FPGAs that have been _fully_ reverse engineered and now have open source toolchains, such as Lattice iCE40 and Lattice ECP5(-5G). Others are coming along, such as Xilinx 7 series and Lattice's Nexus FPGAs (CrossLink and Certus products).

    See: https://f4pga.org/

    As far as boards go, there are many, many out there. Some of my favorites are:

    Alchitry Au: https://www.sparkfun.com/products/16527

    Olimex iCE40HX8K-EVN: https://www.olimex.com/Products/FPGA/iCE40/iCE40HX8K-EVB/ope...

    ECP5-5G evaluation kit: https://www.latticesemi.com/products/developmentboardsandkit...

What are some alternatives?

When comparing icestorm and f4pga-arch-defs you can also consider the following projects:

ghdl-yosys-plugin - VHDL synthesis (based on ghdl)

apio - :seedling: Open source ecosystem for open FPGA boards

finn-examples - Dataflow QNN inference accelerator examples on FPGAs

prince - The Prince lightweight block cipher in Verilog.

chisel - Chisel: A Modern Hardware Design Language

dbus_ti_link_uart_verilog - Verilog dbus (TI transfer bus) implementation and bridge to UART. High-performance link with TI calculators such as TI-89.

verible - Verible is a suite of SystemVerilog developer tools, including a parser, style-linter, formatter and language server

abc - ABC: System for Sequential Logic Synthesis and Formal Verification

f4pga - FOSS Flow For FPGA

prjtrellis - Documenting the Lattice ECP5 bit-stream format.

arduino-ide - Arduino IDE 2.x