BrianHG-DDR3-Controller VS fpga-docker

Compare BrianHG-DDR3-Controller vs fpga-docker and see what are their differences.

BrianHG-DDR3-Controller

DDR3 Controller v1.60, 16 read/write ports, configurable widths, priority, auto-burst size & cache on each port. VGA/HDMI multiwindow video controller with alpha-blended layers. Docs & TBs included. (by BrianHGinc)

fpga-docker

Tools for running FPGA vendor toolchains with Docker (by halfmanhalftaco)
InfluxDB - Power Real-Time Data Analytics at Scale
Get real-time insights from all types of time series data with InfluxDB. Ingest, query, and analyze billions of data points in real-time with unbounded cardinality.
www.influxdata.com
featured
SaaSHub - Software Alternatives and Reviews
SaaSHub helps you find the best software and product alternatives
www.saashub.com
featured
BrianHG-DDR3-Controller fpga-docker
2 2
60 66
- -
10.0 0.0
almost 2 years ago about 1 year ago
SystemVerilog Makefile
- -
The number of mentions indicates the total number of mentions that we've tracked plus the number of user suggested alternatives.
Stars - the number of stars that a project has on GitHub. Growth - month over month growth in stars.
Activity is a relative number indicating how actively a project is being developed. Recent commits have higher weight than older ones.
For example, an activity of 9.0 indicates that a project is amongst the top 10% of the most actively developed projects that we are tracking.

BrianHG-DDR3-Controller

Posts with mentions or reviews of BrianHG-DDR3-Controller. We have used some of these posts to build our list of alternatives and similar projects.

fpga-docker

Posts with mentions or reviews of fpga-docker. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2021-05-31.
  • Anyone try Docker desktop with FPGA tools env setup?
    1 project | /r/FPGA | 25 Jul 2021
  • Recommended CAD tools
    2 projects | /r/FPGA | 31 May 2021
    This may not be what you are looking for, but instead of fiddling with installation on Ubuntu, I tried the fpga-docker, and it seems to work fine. Actually, because I decided to use Podman instead of Docker, I had to tinker a bit with file/directory permissions for the "home" directory that is created on the host's filesystem, but if you stick with Docker it will likely work out-of-the-box. After creating the udev file(on the Ubuntu host) programming from Quartus is working fine-ish[1]. The NativeLink(or whatever it's called) between Quartus and ModelSim doesn't work for some reason, so for now I'm using ModelSim as a standalone application.

What are some alternatives?

When comparing BrianHG-DDR3-Controller and fpga-docker you can also consider the following projects:

ulm-on-ice - ULM (Ulm Lecture Machine) on ice40

verilog_template - A template for starting a Verilog project with FuseSoC integration, Icarus simulation, Verilator linting, Yosys usage report, and VS Code syntax highlighting.

ApogeoRV - A RISC-V 32 bits, Out Of Order, single issue with branch prediction CPU, implementing the B, C, M and Zfinx extensions.

hdmi - Send video/audio over HDMI on an FPGA