Drumroll! 5-7x faster compilation for Xilinx.

This page summarizes the projects mentioned and recommended in the original post on /r/FPGA

InfluxDB - Power Real-Time Data Analytics at Scale
Get real-time insights from all types of time series data with InfluxDB. Ingest, query, and analyze billions of data points in real-time with unbounded cardinality.
www.influxdata.com
featured
SaaSHub - Software Alternatives and Reviews
SaaSHub helps you find the best software and product alternatives
www.saashub.com
featured
  • RapidStream

    This is a personal archive. Please refer to github.com/UCLA-VAST/RapidStream

  • RapidStream

  • InfluxDB

    Power Real-Time Data Analytics at Scale. Get real-time insights from all types of time series data with InfluxDB. Ingest, query, and analyze billions of data points in real-time with unbounded cardinality.

    InfluxDB logo
NOTE: The number of mentions on this list indicates mentions on common posts plus user suggested alternatives. Hence, a higher number means a more popular project.

Suggest a related project

Related posts

  • AXOrderBook: NEW Extended Research - star count:53.0

    1 project | /r/algoprojects | 22 Oct 2023
  • AXOrderBook: NEW Extended Research - star count:53.0

    1 project | /r/algoprojects | 21 Oct 2023
  • How to participate in open-source FPGA projects?

    1 project | /r/FPGA | 4 Aug 2023
  • Looking for HLS frameworks to start deploying DL algorithms on FPGAs

    3 projects | /r/FPGA | 20 Jun 2023
  • Hi, What could be the best HLS tool for implementing neural networks on FPGA

    2 projects | /r/FPGA | 13 Jun 2023