rapcores VS open-fpga-verilog-tutorial

Compare rapcores vs open-fpga-verilog-tutorial and see what are their differences.

open-fpga-verilog-tutorial

Learn how to design digital systems and synthesize them into an FPGA using only opensource tools (by Obijuan)
InfluxDB - Power Real-Time Data Analytics at Scale
Get real-time insights from all types of time series data with InfluxDB. Ingest, query, and analyze billions of data points in real-time with unbounded cardinality.
www.influxdata.com
featured
SaaSHub - Software Alternatives and Reviews
SaaSHub helps you find the best software and product alternatives
www.saashub.com
featured
rapcores open-fpga-verilog-tutorial
3 3
22 743
- -
0.0 0.0
over 2 years ago about 4 years ago
Verilog Verilog
ISC License GNU General Public License v3.0 only
The number of mentions indicates the total number of mentions that we've tracked plus the number of user suggested alternatives.
Stars - the number of stars that a project has on GitHub. Growth - month over month growth in stars.
Activity is a relative number indicating how actively a project is being developed. Recent commits have higher weight than older ones.
For example, an activity of 9.0 indicates that a project is amongst the top 10% of the most actively developed projects that we are tracking.

rapcores

Posts with mentions or reviews of rapcores. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2021-07-21.
  • FPGA development automation practices
    2 projects | /r/FPGA | 21 Jul 2021
    Our project is: https://github.com/RAPcores/rapcores I have a draft article about the tools we use, but it is several months old now. We are about one year into the project, and I am amazed how every month some new tooling seems to pop up that solves some problem.
  • PWM for BLDC motor RPM control on Arty A7 100T
    1 project | /r/FPGA | 6 Apr 2021
  • Lessons learned while building an ASIC design
    1 project | /r/ZipCPU | 6 Mar 2021
    Really good write up. We recently did a tape out as well and had similar learnings :) Would have definitely been nice to see this a few months ago. As part of our CI we have started parsing with Yosys, Verilator, and IVerilog. I cannot recommend this enough. There is a perfectly capable subset of verilog dialect amongst all three, and gives you nice protability amongst FOSS toolchains. Running parsing checks is a great way to lint/sanity check things. We also put together a simple script to check register initializations that has been really helpful also: https://github.com/RAPcores/rapcores/blob/main/etc/reginit.sh

open-fpga-verilog-tutorial

Posts with mentions or reviews of open-fpga-verilog-tutorial. We have used some of these posts to build our list of alternatives and similar projects.
  • FPGA for beginners?
    1 project | /r/beneater | 2 Oct 2022
    The toolchain is called Icestorm, main tool is yosys, most information will be in English. I started with this tutorials here (also in English) https://github.com/Obijuan/open-fpga-verilog-tutorial. Then I used books to learn more on the basics of cpu design in verilog (which can also found online). Obijuan is a Spanish profesor teaching digital electronics in university, he lead the development of a graphical user interface to generate verilog based on a blocks UI, which helps design circuits when you are starting, but unfortunately I believe all his videos are in Spanish, I'd suggest you give it a try even if you don't understand English, as the material available (wiki and videos) is very good. Look for "fpgawars jedi academy " and IceStudio (the tool). But in the end, I personally felt limited by the GUI tool (which was still under heavy development at the time) and went straight to code the verilog code by hand (which obviously is more flexible). Anyway I feel there are not that many pattern to know.
  • What's the difference between FPGA, RISC-V, Arduino?
    1 project | /r/RISCV | 22 Apr 2021
    Among your choices, FPGA is the answer. I would suggest a cheap iCE40 board like the iCESugar, to get started. Then follow tutorials targetting ice40+open stack.
  • Digital Design for FPGAs, with free tools
    1 project | news.ycombinator.com | 14 Feb 2021

What are some alternatives?

When comparing rapcores and open-fpga-verilog-tutorial you can also consider the following projects:

wb2axip - Bus bridges and other odds and ends

icestudio - :snowflake: Visual editor for open FPGA boards