f4pga VS skidl

Compare f4pga vs skidl and see what are their differences.

skidl

SKiDL is a module that extends Python with the ability to design electronic circuits. (by devbisme)
Our great sponsors
  • InfluxDB - Power Real-Time Data Analytics at Scale
  • WorkOS - The modern identity platform for B2B SaaS
  • SaaSHub - Software Alternatives and Reviews
f4pga skidl
6 12
314 974
5.4% -
1.9 7.3
3 days ago 9 days ago
Python Jupyter Notebook
Apache License 2.0 MIT License
The number of mentions indicates the total number of mentions that we've tracked plus the number of user suggested alternatives.
Stars - the number of stars that a project has on GitHub. Growth - month over month growth in stars.
Activity is a relative number indicating how actively a project is being developed. Recent commits have higher weight than older ones.
For example, an activity of 9.0 indicates that a project is amongst the top 10% of the most actively developed projects that we are tracking.

f4pga

Posts with mentions or reviews of f4pga. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2024-02-05.
  • Show HN: Atopile – Design circuit boards with code
    10 projects | news.ycombinator.com | 5 Feb 2024
  • AMD Proposes an FPGA Subsystem User-Space Interface for Linux
    3 projects | news.ycombinator.com | 4 Jan 2024
    I hope AMD sees the light and helps F4FPGA develop a more complete open source toolchain for their FGPAs (https://f4pga.org). With this subsystem and an open source compilation flow, FGPA experiments would be way easier.
  • Need help to build a RISC-V Processor on Artix-7 FPGA: Final Year Engineering Project Guide
    4 projects | /r/RISCV | 23 Oct 2023
    I tried to get LiteX to work with F4PGA, an open source FPGA toolchain, instead of the Xilinx tools, but it was a huge hassle. Just use LiteX with the Xilinx tools.
  • Running Linux on Xilinx / AMD FPGA Softcore Example Design
    1 project | news.ycombinator.com | 17 Sep 2023
    Not really, at least not apples-apples for something like a Pi.

    There are a couple of different aspects to this, one is that soft-logic it typically slower than hard-logic so you just can't get comparable frequencies out of a soft implementation. For datapath designs, this is typically solved by going wider, but that isn't quite as helpful or practical for all aspects of a processor implemented in soft logic.

    If you look at the specs for this softcore processor, they have much less performance than a Pi, even when you're using some of the biggest and more $$ families of FPGAs: https://www.xilinx.com/products/design-tools/microblaze.html....

    I'd say that is on-part with similar complexity soft-core CPUs from other vendors or even open-source ones.

    With respect to the design transparency, it kind of depends on how much you care about the black-box compilers required to use a lot of these advanced chips. You can feed open-source RTL into them, but there's still a proprietary black-box compiler/fitter/place-route etc for a lot of these.

    There's some work toward open toolchains from yosys and https://f4pga.org/, but none of the big FPGA companies seem very bought-in or willing to help a, so it's been a community best-effort, and for some of the fancier devices, you still have to use the proprietary tools to build bitstreams.

  • Newbie's complaints about Xilinx software
    1 project | /r/FPGA | 3 Jun 2023
    I am a believer that the open source days will come: https://f4pga.org/
  • Symbiflow: The GCC of the FPGA World
    5 projects | news.ycombinator.com | 28 Sep 2021

skidl

Posts with mentions or reviews of skidl. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2024-02-05.
  • Show HN: Atopile – Design circuit boards with code
    10 projects | news.ycombinator.com | 5 Feb 2024
    Great question! We hope we have a few good reasons.

    This iteration of the project actually came after first working with and then modifying another awesome project called SKiDL (https://github.com/devbisme/skidl).

    It's based on Python - but we found that because since it's procedural, turing complete and has a rich eco-system - people use to that and there aren't standard composable ways of designing things. Instead of describing your board, you (practically) write a script that generates your board. It entangles your targets with your source-code and can make it difficult to understand the ultimate outcome of what you've written.

    Additionally, since it's a potentially very long program, it was hard to write good language support around (a language server for VSCode, a schematic visualiser etc...) that were snappy, responsive and lent to examining modules as well as the whole program.

    There's a few operators and first-class language features we wanted as well, like units and tolerances (3.3V +/- 100mV) that just aren't the same when embedded in a string, or class init method.

  • Text only PCB CAD tools?
    2 projects | /r/PrintedCircuitBoard | 22 Jun 2023
    there is skidl for schematics, but yeah shit was hand drawn before GUIs.
  • What needs to happen for ChatGPT to start generating visual answers like electrical schematics?
    1 project | /r/ChatGPT | 2 Jun 2023
    Further, there are many python libraries etc which can be used to design electrical circuits using code: https://github.com/devbisme/skidl
  • The tooling ecosystem that adds joy to KiCad
    4 projects | news.ycombinator.com | 9 Aug 2022
    I'd like to see documentation on your workflow. You can also post it on https://github.com/devbisme/skidl/discussions.

    There is a generate_pcb() function in SKiDL that will output a kicad_pcb file that can be directly opened using PCBNEW (no need to generate the intermediate netlist file). That function uses HierPlace to group the parts based on their hierarchical organization. That would be a good place to inject some autoplacement if you get it figured out.

  • Wiring Tool
    2 projects | /r/AskElectronics | 30 Jul 2022
    If others are interested in this and wireviz they might also like to know about https://github.com/devbisme/skidl. Used to make schematic specification in python.
  • Python & EE
    1 project | /r/ElectricalEngineering | 23 Dec 2021
    Kinda off topic, but there's this project called skidl https://github.com/devbisme/skidl allowing you to create electrical schematics using Python. It seems to council your two interests, maybe for a fun side showoff project.
  • Using DXFs to create PCBs?
    3 projects | /r/PrintedCircuitBoard | 4 Oct 2021
  • Help Us Create Automated PCB Software!
    1 project | /r/PCB | 16 Sep 2021
    Take a look at this SKiDL discussion forums on GitHub: https://github.com/xesscorp/skidl/discussions/129
  • What I wish I knew before building a Shopify App
    4 projects | news.ycombinator.com | 19 Mar 2021
    SKiDL (circuit design library) does this to a very large extent...

    https://github.com/xesscorp/skidl

  • Spice simulation of SKiDL,alt to KiCAD
    1 project | news.ycombinator.com | 30 Jan 2021

What are some alternatives?

When comparing f4pga and skidl you can also consider the following projects:

icestorm - Project IceStorm - Lattice iCE40 FPGAs Bitstream Documentaion (Reverse Engineered)

pcbflow - Python based Printed Circuit Board (PCB) layout and design package based on CuFlow.

f4pga-examples - Example designs showing different ways to use F4PGA toolchains.

kicad-action-scripts - Some KiCad plugins in Python

f4pga-arch-defs - FOSS architecture definitions of FPGA hardware useful for doing PnR device generation.

SparkFun-KiCad-Libraries - SparkFun's KiCad Libraries

docs - Documentation site

kicad-jlcpcb-tools - Plugin to generate BOM + CPL files for JLCPCB, assigning LCSC part numbers directly from the plugin, query the JLCPCB parts database, lookup datasheets and much more.

prjtrellis - Documenting the Lattice ECP5 bit-stream format.

kicad_footprints - A collection of all the KiCad footprints on the internet

atopile - Design circuit boards with code! ✨ Get software-like design reuse 🚀, validation, version control and collaboration in hardware; starting with electronics ⚡️

RF-tools-KiCAD - KiCAD RF tools: footprints wizard and round tracks, mask expander, via fencing