oss-cad-suite-build VS icicle

Compare oss-cad-suite-build vs icicle and see what are their differences.

oss-cad-suite-build

Multi-platform nightly builds of open source digital design and verification tools (by YosysHQ)

icicle

An OSS CAD Suite Version Manager (by nishtahir)
InfluxDB - Power Real-Time Data Analytics at Scale
Get real-time insights from all types of time series data with InfluxDB. Ingest, query, and analyze billions of data points in real-time with unbounded cardinality.
www.influxdata.com
featured
SaaSHub - Software Alternatives and Reviews
SaaSHub helps you find the best software and product alternatives
www.saashub.com
featured
oss-cad-suite-build icicle
14 1
705 5
4.8% -
8.9 5.6
7 days ago 5 months ago
Shell Rust
ISC License GNU General Public License v3.0 only
The number of mentions indicates the total number of mentions that we've tracked plus the number of user suggested alternatives.
Stars - the number of stars that a project has on GitHub. Growth - month over month growth in stars.
Activity is a relative number indicating how actively a project is being developed. Recent commits have higher weight than older ones.
For example, an activity of 9.0 indicates that a project is amongst the top 10% of the most actively developed projects that we are tracking.

oss-cad-suite-build

Posts with mentions or reviews of oss-cad-suite-build. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2023-11-06.
  • Programming on Parallel Machines; GPU, Multicore, Clusters and More
    1 project | news.ycombinator.com | 20 Nov 2023
    The OSS CAD Suite [0] is a good open-source toolchain for this stuff. You can then write hardware designs in the SytemVerilog language (VSCode has some plugins, I believe, but I've just been using a basic text editor) and use the build toolchain to compile ("synthesize") and program e.g. an FPGA with your designs.

    (FWIW, I've only just taken a class on Verilog this past Spring, but we used oss-cad-suite and I found it pretty straightforward to use. The bundled version of Verilator had some issues on my Mac though, so I had to compile my own copy of Verilator.)

    [0] https://github.com/YosysHQ/oss-cad-suite-build/

  • FPGA Dev Boards for $150 or Less
    4 projects | news.ycombinator.com | 6 Nov 2023
    I've followed this tutorial recently, and it's amazing:

    https://github.com/BrunoLevy/learn-fpga/blob/master/FemtoRV/...

    The author includes detailed instruction for how to build a micro-controller in Verilog on an icestick, starting from a very simple blinker all the way to a functional RISC-V core.

    My other suggestion would be: for most of the toolchain, skip your package manager and directly install the binary artifacts published on this Github repo:

    https://github.com/YosysHQ/oss-cad-suite-build

    You'll spare yourself a world of pain.

  • Development board for beginner: where to buy
    1 project | /r/FPGA | 26 Apr 2023
    You can compile and install from source or you can install the TabbyCAD software suite. It can be freely downloaded here: https://github.com/YosysHQ/oss-cad-suite-build.
  • help with open source VHDL synthesis
    1 project | /r/FPGA | 7 Mar 2023
    I use a pre-built suite of tools from Yosys called the OSS CAD Suite, you can find binary builds at https://github.com/YosysHQ/oss-cad-suite-build
  • How to update GTKwave?
    1 project | /r/FPGA | 11 Feb 2023
    OSS CAD Suite gives you the absolute latest version of GTKWave (3.4.0), plus Icarus Verilog and a bunch of other stuff. On Windows though you need to use the start.bat or environment.bat scripts though to make the tools available in a command prompt.
  • Introduction to FPGAs
    9 projects | news.ycombinator.com | 6 Feb 2023
    There's a darwin-arm64 asset for https://github.com/YosysHQ/oss-cad-suite-build/releases at least. Installation is just 4 steps (see the readme). It just worked for me on Windows and Linux at least.
  • (System)Verilog Linting in VSCode?
    3 projects | /r/FPGA | 13 Oct 2022
    You’ll need to install the latest iverilog version. The easiest way to do that is to install https://github.com/YosysHQ/oss-cad-suite-build
  • I really liked the OSS CAD Suite collection put together by YoSYS so I made a version manager for it
    2 projects | /r/FPGA | 11 Aug 2022
    I'm a macOS user that's recently been looking for a great FPGA development workflow for macOS. I recently discovered this collection. I liked that this was a nightly build but wanted a way to maintain the version of the tool suite used with my sources so I built a version manager for it here https://github.com/nishtahir/icicle. I'm still testing it and ironing out the kinks but would appreciate any feedback.
  • Do you work in a mixed HDL shop?
    2 projects | /r/FPGA | 2 Aug 2022
    It also seems like YosysHQ's prepackaged OSS CAD Suite ships with the GHDL plugin, making installation a breeze compared to building from source (just extract the suite and add to PATH).
  • Is there a Free, open source FPGA programming software?
    2 projects | /r/FPGA | 10 Apr 2022
    The other is oss-cad-suite which is a more traditional binary distribution in the form of a tar ball that you download, extract and add to your path.

icicle

Posts with mentions or reviews of icicle. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2022-08-11.
  • I really liked the OSS CAD Suite collection put together by YoSYS so I made a version manager for it
    2 projects | /r/FPGA | 11 Aug 2022
    I'm a macOS user that's recently been looking for a great FPGA development workflow for macOS. I recently discovered this collection. I liked that this was a nightly build but wanted a way to maintain the version of the tool suite used with my sources so I built a version manager for it here https://github.com/nishtahir/icicle. I'm still testing it and ironing out the kinks but would appreciate any feedback.

What are some alternatives?

When comparing oss-cad-suite-build and icicle you can also consider the following projects:

nextpnr - nextpnr portable FPGA place and route tool

cariboulite - CaribouLite turns any 40-pin Raspberry-Pi into a Tx/Rx 6GHz SDR

vscode-terosHDL - VHDL and Verilog/SV IDE: state machine viewer, linter, documentation, snippets... and more!

Whisper - High-performance GPGPU inference of OpenAI's Whisper automatic speech recognition (ASR) model

verilog_template - A template for starting a Verilog project with FuseSoC integration, Icarus simulation, Verilator linting, Yosys usage report, and VS Code syntax highlighting.

make_for_vivado - experimentation with gnu make for Xilinx Vivado compilation. dependencies can be complicated.

tensil - Open source machine learning accelerators

yosys - Yosys Open SYnthesis Suite

tinyTPU - Implementation of a Tensor Processing Unit for embedded systems and the IoT.

Wiki_MiSTer

learn-fpga - Learning FPGA, yosys, nextpnr, and RISC-V

fusesoc - Package manager and build abstraction tool for FPGA/ASIC development

InfluxDB - Power Real-Time Data Analytics at Scale
Get real-time insights from all types of time series data with InfluxDB. Ingest, query, and analyze billions of data points in real-time with unbounded cardinality.
www.influxdata.com
featured
SaaSHub - Software Alternatives and Reviews
SaaSHub helps you find the best software and product alternatives
www.saashub.com
featured