ghdl-interactive-sim

Interactive GHDL simulation of a VHDL adder using Python, Cocotb, and pygame (by chuckb)

Ghdl-interactive-sim Alternatives

Similar projects and alternatives to ghdl-interactive-sim

NOTE: The number of mentions on this list indicates mentions on common posts plus user suggested alternatives. Hence, a higher number means a better ghdl-interactive-sim alternative or higher similarity.

ghdl-interactive-sim reviews and mentions

Posts with mentions or reviews of ghdl-interactive-sim. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2023-06-24.
  • Simulating FPGA without having to buy the boards?
    2 projects | /r/FPGA | 24 Jun 2023
    For learning purposes - I came across a program a while back that used python and ghdl to generate a basic board with switches/ leds/ 7seg displays. It worked quite well with minimal setup needed. https://github.com/chuckb/ghdl-interactive-sim

Stats

Basic ghdl-interactive-sim repo stats
1
5
10.0
over 2 years ago

The primary programming language of ghdl-interactive-sim is Python.


Sponsored
SaaSHub - Software Alternatives and Reviews
SaaSHub helps you find the best software and product alternatives
www.saashub.com