-
OsvvmLibraries
Start here. Includes all other OSVVM libraries as submodules: Utility, Common, Verification Component, and Script.
-
InfluxDB
Power Real-Time Data Analytics at Scale. Get real-time insights from all types of time series data with InfluxDB. Ingest, query, and analyze billions of data points in real-time with unbounded cardinality.
For building simulation projects, you might consider OSVVM. See: https://github.com/OSVVM/OsvvmLibraries
The OSVVM API runs on Siemen's ModelSim/QuestaSim, Aldec's Active-HDL/Riviera-PRO, GHDL (open source), Synopsys' VCS and Cadence's Xcelium. The advantage of this is that there is one API to run any of them. It is a work in progress. We are open source and accept contributions. We have a start at running XSIM, but are not focused on that as XSIM does not yet support the OSVVM verification utility libraries (2021.1). My blog on the scripting is at: https://osvvm.org/archives/1876All documentation is here: https://github.com/OSVVM/Documentation/tree/master