Documentation

OSVVM Documentation (by OSVVM)

Documentation Alternatives

Similar projects and alternatives to Documentation

  • viv-prj-gen

    tcl scripts used to build or generate vivado projects automatically

  • OsvvmLibraries

    Start here. Includes all other OSVVM libraries as submodules: Utility, Common, Verification Component, and Script.

  • WorkOS

    The modern identity platform for B2B SaaS. The APIs are flexible and easy-to-use, supporting authentication, user identity, and complex enterprise features like SSO and SCIM provisioning.

    WorkOS logo
NOTE: The number of mentions on this list indicates mentions on common posts plus user suggested alternatives. Hence, a higher number means a better Documentation alternative or higher similarity.

Documentation reviews and mentions

Posts with mentions or reviews of Documentation. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2021-12-04.
  • Comments and rants about tools, and a crazy idea
    3 projects | /r/FPGA | 4 Dec 2021
    The OSVVM API runs on Siemen's ModelSim/QuestaSim, Aldec's Active-HDL/Riviera-PRO, GHDL (open source), Synopsys' VCS and Cadence's Xcelium. The advantage of this is that there is one API to run any of them. It is a work in progress. We are open source and accept contributions. We have a start at running XSIM, but are not focused on that as XSIM does not yet support the OSVVM verification utility libraries (2021.1). My blog on the scripting is at: https://osvvm.org/archives/1876All documentation is here: https://github.com/OSVVM/Documentation/tree/master

Stats

Basic Documentation repo stats
1
27
4.7
15 days ago

Sponsored
SaaSHub - Software Alternatives and Reviews
SaaSHub helps you find the best software and product alternatives
www.saashub.com