Ebiggers/libdeflate: Heavily optimized DEFLATE/zlib/gzip library

This page summarizes the projects mentioned and recommended in the original post on news.ycombinator.com

Our great sponsors
  • WorkOS - The modern identity platform for B2B SaaS
  • InfluxDB - Power Real-Time Data Analytics at Scale
  • SaaSHub - Software Alternatives and Reviews
  • TurboBench

    Compression Benchmark

  • Download TurboBench from Releases [2]

    Here a Benchmark:

    - https://github.com/powturbo/TurboBench/issues/43" rel="nofollow noreferrer">https://github.com/powturbo/TurboBench/issues/43">https://gi...

    [1] https://github.com/powturbo/TurboBench" rel="nofollow noreferrer">https://github.com/powturbo/TurboBench">https://github.com/p...

    [2] https://github.com/powturbo/TurboBench/releases" rel="nofollow noreferrer">https://github.com/powturbo/TurboBench/releases">https://git...

  • rapidgzip

    Gzip Decompression and Random Access for Modern Multi-Core Machines

  • I also did benchmarks with zlib and libarchivemount via their library interface here [0]. It has been a while that I have run them, so I forgot. Unfortunately, I did not add libdeflate.

    [0] https://github.com/mxmlnkn/rapidgzip/blob/master/src/benchma...

  • WorkOS

    The modern identity platform for B2B SaaS. The APIs are flexible and easy-to-use, supporting authentication, user identity, and complex enterprise features like SSO and SCIM provisioning.

    WorkOS logo
  • libdeflate

    Heavily optimized library for DEFLATE/zlib/gzip compression and decompression

NOTE: The number of mentions on this list indicates mentions on common posts plus user suggested alternatives. Hence, a higher number means a more popular project.

Suggest a related project

Related posts