Suggest an alternative to

verilog_template

A template for starting a Verilog project with FuseSoC integration, Icarus simulation, Verilator linting, Yosys usage report, and VS Code syntax highlighting.

Why do you think that https://github.com/TerosTechnology/vscode-terosHDL is a good alternative to verilog_template

A URL to the alternative repo (e.g. GitHub, GitLab)

Here you can share your experience with the project you are suggesting or its comparison with verilog_template. Optional.

A valid email to send you a verification link when necessary or log in.