Suggest an alternative to

z80-open-silicon

Z80 open-source silicon clone. Goal is to become a silicon proven, pin compatible, open-source replacement for classic Z80.

Why do you think that https://github.com/mattvenn/wokwi-verilog-gds-test is a good alternative to z80-open-silicon

A URL to the alternative repo (e.g. GitHub, GitLab)

Here you can share your experience with the project you are suggesting or its comparison with z80-open-silicon. Optional.

A valid email to send you a verification link when necessary or log in.