Suggest an alternative to

ghdl-yosys-plugin

VHDL synthesis (based on ghdl)

Why do you think that https://github.com/rvalles/dbus_ti_link_uart_verilog is a good alternative to ghdl-yosys-plugin

A URL to the alternative repo (e.g. GitHub, GitLab)

Here you can share your experience with the project you are suggesting or its comparison with ghdl-yosys-plugin. Optional.

A valid email to send you a verification link when necessary or log in.