OSVVM-Scripts

OSVVM project simulation scripts. Scripts are tedious. These scripts simplify the steps to compile your project for simulation (by OSVVM)

OSVVM-Scripts Alternatives

Similar projects and alternatives to OSVVM-Scripts

NOTE: The number of mentions on this list indicates mentions on common posts plus user suggested alternatives. Hence, a higher number means a better OSVVM-Scripts alternative or higher similarity.

OSVVM-Scripts reviews and mentions

Posts with mentions or reviews of OSVVM-Scripts. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2023-05-12.
  • Sunset TCL scripts ?
    3 projects | /r/FPGA | 12 May 2023
    We would accept pull requests for additions like this. The scripts are a submodule and are at https://github.com/OSVVM/OSVVM-Scripts

Stats

Basic OSVVM-Scripts repo stats
1
8
7.8
19 days ago

OSVVM/OSVVM-Scripts is an open source project licensed under GNU General Public License v3.0 or later which is an OSI approved license.

The primary programming language of OSVVM-Scripts is Tcl.


Sponsored
SaaSHub - Software Alternatives and Reviews
SaaSHub helps you find the best software and product alternatives
www.saashub.com