Verilator

Open-source projects categorized as Verilator

Top 21 Verilator Open-Source Projects

  • verilator

    Verilator open-source SystemVerilog simulator and lint system

  • Project mention: What's new for RISC-V in LLVM 17 | news.ycombinator.com | 2023-10-11

    You may want to check out Verilator:

    https://verilator.org/

  • zipcpu

    A small, light weight, RISC CPU soft core

  • InfluxDB

    Power Real-Time Data Analytics at Scale. Get real-time insights from all types of time series data with InfluxDB. Ingest, query, and analyze billions of data points in real-time with unbounded cardinality.

    InfluxDB logo
  • riscv

    RISC-V CPU Core (RV32IM)

  • Project mention: Ultraembedded RISCV Module | /r/RISCV | 2023-08-04

    I have been trying to execute some instructions to the ultraembedded riscv module https://github.com/ultraembedded/riscv

  • Cores-VeeR-EH1

    VeeR EH1 core

  • biriscv

    32-bit Superscalar RISC-V CPU

  • Project mention: Need help with designing a basic RISC V processor? | /r/RISCV | 2023-06-21
  • edalize

    An abstraction library for interfacing EDA tools

  • wbuart32

    A simple, basic, formally verified UART controller

  • Project mention: C++ Verification Testbench Best-Practice Resources? | /r/FPGA | 2023-06-11

    I have built a lot of open-source C++ tooling for design verification. You can find a lot of my C++ models posted on my Github. Example C++ models include: UART, SPI/DSPI/QSPI Flash, SD-Card (SPI-based interface), VGA Video, Ethernet MDIO, PS/2 mouse, OLED display, SDRAM and more. (I've even simulated PLLs using C++ models ...) I have also written extensively about doing so at ZipCPU.com.

  • WorkOS

    The modern identity platform for B2B SaaS. The APIs are flexible and easy-to-use, supporting authentication, user identity, and complex enterprise features like SSO and SCIM provisioning.

    WorkOS logo
  • Cores-VeeR-EL2

    VeeR EL2 Core

  • dblclockfft

    A configurable C++ generator of pipelined Verilog FFT cores

  • FakePGA

    Simulating Verilog designs on a microcontroller

  • vgasim

    A Video display simulator

  • Project mention: C++ Verification Testbench Best-Practice Resources? | /r/FPGA | 2023-06-11

    I have built a lot of open-source C++ tooling for design verification. You can find a lot of my C++ models posted on my Github. Example C++ models include: UART, SPI/DSPI/QSPI Flash, SD-Card (SPI-based interface), VGA Video, Ethernet MDIO, PS/2 mouse, OLED display, SDRAM and more. (I've even simulated PLLs using C++ models ...) I have also written extensively about doing so at ZipCPU.com.

  • sdspi

    SD-Card controller, using either SPI, SDIO, or eMMC interfaces

  • Project mention: C++ Verification Testbench Best-Practice Resources? | /r/FPGA | 2023-06-11

    I have built a lot of open-source C++ tooling for design verification. You can find a lot of my C++ models posted on my Github. Example C++ models include: UART, SPI/DSPI/QSPI Flash, SD-Card (SPI-based interface), VGA Video, Ethernet MDIO, PS/2 mouse, OLED display, SDRAM and more. (I've even simulated PLLs using C++ models ...) I have also written extensively about doing so at ZipCPU.com.

  • openarty

    An Open Source configuration of the Arty platform

  • Project mention: C++ Verification Testbench Best-Practice Resources? | /r/FPGA | 2023-06-11

    I have built a lot of open-source C++ tooling for design verification. You can find a lot of my C++ models posted on my Github. Example C++ models include: UART, SPI/DSPI/QSPI Flash, SD-Card (SPI-based interface), VGA Video, Ethernet MDIO, PS/2 mouse, OLED display, SDRAM and more. (I've even simulated PLLs using C++ models ...) I have also written extensively about doing so at ZipCPU.com.

  • dpll

    A collection of phase locked loop (PLL) related projects

  • wbscope

    A wishbone controlled scope for FPGA's

  • interpolation

    Digital Interpolation Techniques Applied to Digital Signal Processing

  • zbasic

    A bare bones, basic, ZipCPU system designed for both testing and quick integration into new systems

  • eda_tools

    A Dockerfile with a collections of ready to use open source EDA tools: Yosys, SimbiYosys (with Z3, boolector and Yices2), nextpnr-ice40, netxpnr-ecp5, nextpnr-gowin, Amaranth HDL, Silice and Verilator.

  • dbgbus

    A collection of debugging busses developed and presented at zipcpu.com

  • wbicapetwo

    Wishbone to ICAPE interface conversion

  • verilog_template

    A template for starting a Verilog project with FuseSoC integration, Icarus simulation, Verilator linting, Yosys usage report, and VS Code syntax highlighting.

  • SaaSHub

    SaaSHub - Software Alternatives and Reviews. SaaSHub helps you find the best software and product alternatives

    SaaSHub logo
NOTE: The open source projects on this list are ordered by number of github stars. The number of mentions indicates repo mentiontions in the last 12 Months or since we started tracking (Dec 2020).

Verilator related posts

Index

What are some of the best open-source Verilator projects? This list will help you:

Project Stars
1 verilator 2,083
2 zipcpu 1,190
3 riscv 1,040
4 Cores-VeeR-EH1 773
5 biriscv 749
6 edalize 590
7 wbuart32 250
8 Cores-VeeR-EL2 222
9 dblclockfft 205
10 FakePGA 152
11 vgasim 147
12 sdspi 132
13 openarty 116
14 dpll 87
15 wbscope 70
16 interpolation 48
17 zbasic 40
18 eda_tools 34
19 dbgbus 31
20 wbicapetwo 8
21 verilog_template 0

Sponsored
SaaSHub - Software Alternatives and Reviews
SaaSHub helps you find the best software and product alternatives
www.saashub.com