HDMI Output Pynq Z2 PL

This page summarizes the projects mentioned and recommended in the original post on /r/FPGA

Our great sponsors
  • WorkOS - The modern identity platform for B2B SaaS
  • InfluxDB - Power Real-Time Data Analytics at Scale
  • SaaSHub - Software Alternatives and Reviews
  • icebreaker-verilog-examples

    This repository contains small example designs that can be used with the open source icestorm flow.

  • You want to use the DVI protocol over HDMI. You will need your frame data either from a simple state machine or using Xilinx VDMA IP to load it from DDR. Then you need to convert that data into HSYNC and VSYNC data, here are two examples, AXI4-Stream to Video or IceBreaker HDL example. You then need to use IOserdes blocks to convert it to the HDMI TDMS signals (rgb2dvi core). You can follow the block diagram for the Pynq Z2 FPGA on the Pynq github (bd tcl).

  • vivado-library

  • You want to use the DVI protocol over HDMI. You will need your frame data either from a simple state machine or using Xilinx VDMA IP to load it from DDR. Then you need to convert that data into HSYNC and VSYNC data, here are two examples, AXI4-Stream to Video or IceBreaker HDL example. You then need to use IOserdes blocks to convert it to the HDMI TDMS signals (rgb2dvi core). You can follow the block diagram for the Pynq Z2 FPGA on the Pynq github (bd tcl).

  • WorkOS

    The modern identity platform for B2B SaaS. The APIs are flexible and easy-to-use, supporting authentication, user identity, and complex enterprise features like SSO and SCIM provisioning.

    WorkOS logo
  • PYNQ

    Python Productivity for ZYNQ

  • You want to use the DVI protocol over HDMI. You will need your frame data either from a simple state machine or using Xilinx VDMA IP to load it from DDR. Then you need to convert that data into HSYNC and VSYNC data, here are two examples, AXI4-Stream to Video or IceBreaker HDL example. You then need to use IOserdes blocks to convert it to the HDMI TDMS signals (rgb2dvi core). You can follow the block diagram for the Pynq Z2 FPGA on the Pynq github (bd tcl).

  • hdmi

    Send video/audio over HDMI on an FPGA

  • If you want real HDMI you can use https://github.com/hdl-util/hdmi

NOTE: The number of mentions on this list indicates mentions on common posts plus user suggested alternatives. Hence, a higher number means a more popular project.

Suggest a related project

Related posts