Verilog risc-v

Open-source Verilog projects categorized as risc-v

Top 10 Verilog risc-v Projects

  • darkriscv

    opensouce RISC-V cpu core implemented in Verilog from scratch in one night!

  • serv

    SERV - The SErial RISC-V CPU

  • Project mention: SERV – The SErial RISC-V CPU | news.ycombinator.com | 2023-12-10
  • InfluxDB

    Power Real-Time Data Analytics at Scale. Get real-time insights from all types of time series data with InfluxDB. Ingest, query, and analyze billions of data points in real-time with unbounded cardinality.

    InfluxDB logo
  • riscv

    RISC-V CPU Core (RV32IM)

  • Project mention: Ultraembedded RISCV Module | /r/RISCV | 2023-08-04

    I have been trying to execute some instructions to the ultraembedded riscv module https://github.com/ultraembedded/riscv

  • biriscv

    32-bit Superscalar RISC-V CPU

  • Project mention: Need help with designing a basic RISC V processor? | /r/RISCV | 2023-06-21
  • icestation-32

    Compact FPGA game console

  • Project mention: The 8-Bit Era: Eight Iconic Processor Designs – By Babbage | news.ycombinator.com | 2023-12-04

    > Or maybe there's a RV32I community for writing retro style games, with some simple graphics support?

    Wow, https://github.com/dan-rodrigues/icestation-32 looks cool. Sorry for the comment spam. :)

  • airisc_core_complex

    Fraunhofer IMS processor core. RISC-V ISA (RV32IM) with additional peripherals for embedded AI applications and smart sensors.

  • Hazard3

    3-stage RV32IMACZb* processor with debug

  • WorkOS

    The modern identity platform for B2B SaaS. The APIs are flexible and easy-to-use, supporting authentication, user identity, and complex enterprise features like SSO and SCIM provisioning.

    WorkOS logo
  • RISC-V

    Design implementation of the RV32I Core in Verilog HDL with Zicsr extension

  • Project mention: Prototype Demonstration of a 32-bit RISC-V Softcore with FreeRTOS | /r/FPGA | 2023-06-03

    The project repository and the details about the paper can be found here.

  • ITA-CORES

    RISC-V SoC Physical Implementation in 180 nm CMOS with a Quark Core Based on FemtoRV32

  • Project mention: SoC RISC-V: An ASIC Implementation of the FEMTORV32 | news.ycombinator.com | 2023-12-20
  • fpga_riscv_cpu

    fpga verilog risc-v rv32i cpu

NOTE: The open source projects on this list are ordered by number of github stars. The number of mentions indicates repo mentiontions in the last 12 Months or since we started tracking (Dec 2020).

Verilog risc-v related posts

Index

What are some of the best open-source risc-v projects in Verilog? This list will help you:

Project Stars
1 darkriscv 1,882
2 serv 1,244
3 riscv 1,040
4 biriscv 749
5 icestation-32 148
6 airisc_core_complex 70
7 Hazard3 70
8 RISC-V 42
9 ITA-CORES 33
10 fpga_riscv_cpu 8

Sponsored
SaaSHub - Software Alternatives and Reviews
SaaSHub helps you find the best software and product alternatives
www.saashub.com