Discover trending SystemVerilog
open-source projects

114
158
251
Our great sponsors
  • InfluxDB - Power Real-Time Data Analytics at Scale
  • WorkOS - The modern identity platform for B2B SaaS
  • SaaSHub - Software Alternatives and Reviews
Mentions
@
Stars Project Description
14 2,349 OpenTitan: Open source silicon root of trust
20 1,237 Ibex is a small 32 bit RISC-V CPU core, previously known as zero-riscy.
15 1,049 A Verilog synthesis flow for Minecraft redstone circuits
7 1,004 Send video/audio over HDMI on an FPGA
3 920 AXI SystemVerilog synthesizable IP modules and verification infrastructure for high-performance on-chip communication
12 905 RSD: RISC-V Out-of-Order Superscalar Processor
3 869 CV32E40P is an in-order 4-stage RISC-V RV32IMFCXpulp CPU based on RI5CY from PULP-Platform
2 775 SCR1 is a high-quality open-source RISC-V MCU core in Verilog
8 773 VeeR EH1 core
3 615 Proving leftpad correct in a dozen different ways
1 581 The root repo for lowRISC project and FPGA demos.
5 525 A Linux-capable RISC-V multicore for and by the world
3 504 Project F brings FPGAs to life with exciting open-source designs you can build on.
2 484 OpenSource GPU, in Verilog, loosely based on RISC-V ISA
4 445 BaseJump STL: A Standard Template Library for SystemVerilog
2 427 Contains the code examples from The UVM Primer Book sorted by chapters.
1 361 Parametric floating-point unit with support for standard RISC-V formats and operations as well as transprecision formats.
2 332 This is the top-level project for the PULPissimo Platform. It instantiates a PULPissimo open-source system with a PULP SoC domain, but no cluster.
5 295 NESTang is an FPGA Nintendo Entertainment System implemented with Sipeed Tang Primer 25K, Nano 20K and Primer 20K boards
1 244 Public repository for Litefury & Nitefury

Popular SystemVerilog Topics

Newsletter