C Fpga

Open-source C projects categorized as Fpga

Top 19 C Fpga Projects

  • john

    John the Ripper jumbo - advanced offline password cracker, which supports hundreds of hash and cipher types, and runs on many operating systems, CPUs, GPUs, and even some FPGAs

  • Project mention: Best Hacking Tools for Beginners 2024 | dev.to | 2024-02-01

    John The Ripper

  • openwifi

    open-source IEEE 802.11 WiFi baseband FPGA (chip) design: driver, software

  • Project mention: Hold on there: WPA3 connections fail after 11 hours | news.ycombinator.com | 2024-01-27

    There is some open source firmware for very old WiFi chips:

    https://wiki.debian.org/Firmware/Open#Radio

    There is also some FPGA based open source WiFi chip things:

    https://github.com/open-sdr/openwifi

  • WorkOS

    The modern identity platform for B2B SaaS. The APIs are flexible and easy-to-use, supporting authentication, user identity, and complex enterprise features like SSO and SCIM provisioning.

    WorkOS logo
  • litex

    Build your hardware, easily!

  • Project mention: FPGA Dev Boards for $150 or Less | news.ycombinator.com | 2023-11-06

    https://github.com/enjoy-digital/litex

    they have tutorials, you can get compatible boards for around $20

  • NyuziProcessor

    GPGPU microprocessor architecture

  • Project mention: Tiny GPU: A minimal GPU implementation in Verilog | news.ycombinator.com | 2024-04-24

    Nice! I warmly encourage open-core GPU work.

    Here's another: https://github.com/jbush001/NyuziProcessor

  • neorv32

    :rocket: A tiny, customizable and extensible MCU-class 32-bit RISC-V soft-core CPU and microcontroller-like SoC written in platform-independent VHDL.

  • Project mention: An example of how to add the A ISA extension's LR/SC operations into an open-source architecture | /r/RISCV | 2023-07-24
  • Vitis-Tutorials

    Vitis In-Depth Tutorials

  • cariboulite

    CaribouLite turns any 40-pin Raspberry-Pi into a Tx/Rx 6GHz SDR

  • InfluxDB

    Power Real-Time Data Analytics at Scale. Get real-time insights from all types of time series data with InfluxDB. Ingest, query, and analyze billions of data points in real-time with unbounded cardinality.

    InfluxDB logo
  • xilinx_axidma

    A zero-copy Linux driver and a userspace interface library for Xilinx's AXI DMA and VDMA IP blocks. These serve as bridges for communication between the processing system and FPGA programmable logic fabric, through one of the DMA ports on the Zynq processing system. Distributed under the MIT License.

  • Project mention: Petalinux <---> FPGA using DMA | /r/FPGA | 2023-12-08

    I recently got this kind of working, using this driver https://github.com/bperez77/xilinx_axidma. Even after using this driver I had to make changes to the auto generated device tree to get it up and running. This driver also comes with a userspace library and examples that work. I was unable to get the axidmatest.c provided by Xilinx to work.

  • esp

    Embedded Scalable Platforms: Heterogeneous SoC architecture and IP integration made easy

  • vivado-on-silicon-mac

    Installs Vivado on M1/M2 macs

  • Project mention: How Virtualisation came to Apple Silicon Macs | news.ycombinator.com | 2024-01-11

    If you are using Vivado there are some scripts on GitHub to make this work. I don’t know how reliable they are though. I think they run it in a Docker container an use X to display the application in macOS.

    https://github.com/ichi4096/vivado-on-silicon-mac

  • ZynqMP-FPGA-Linux

    FPGA+SoC+Linux+Device Tree Overlay+FPGA Manager U-Boot&Linux Kernel&Debian11 Images (for Xilinx:Zynq Ultrascale+ MPSoC)

  • quasiSoC

    No-MMU Linux capable RISC-V SoC designed to be useful.

  • opencl-hls-cnn-accelerator

    OpenCL HLS based CNN Accelerator on Intel DE10 Nano FPGA.

  • spu32

    Small Processing Unit 32: A compact RV32I CPU written in Verilog

  • arduino-sdi

    open hardware for transmitting SDI signals from an Arduino

  • fujprog

    FPGA ULX2/3 JTAG programmer

  • Toast-RV32i

    Pipelined RISC-V RV32I Core in Verilog

  • lm8

    A custom 8-bit computer and software suite

  • KinnowCPU

    CPU implementing the Limn2600 architecture.

  • Project mention: Mintia: my paging operating system written in a custom language for a custom architecture | /r/osdev | 2023-07-08

    Someone did a verilog implementation of the instruction set, but it only gets to the boot rom prompt because they didn't implement the TLB. It's a cool long term goal for me to do a full system implementation in verilog or something, but I'm just one person and there's a lot to do, so I'm not sure I'll get around to that any time soon.

  • SaaSHub

    SaaSHub - Software Alternatives and Reviews. SaaSHub helps you find the best software and product alternatives

    SaaSHub logo
NOTE: The open source projects on this list are ordered by number of github stars. The number of mentions indicates repo mentiontions in the last 12 Months or since we started tracking (Dec 2020).

C Fpga related posts

Index

What are some of the best open-source Fpga projects in C? This list will help you:

Project Stars
1 john 9,267
2 openwifi 3,558
3 litex 2,683
4 NyuziProcessor 1,900
5 neorv32 1,415
6 Vitis-Tutorials 1,057
7 cariboulite 1,033
8 xilinx_axidma 428
9 esp 295
10 vivado-on-silicon-mac 193
11 ZynqMP-FPGA-Linux 124
12 quasiSoC 85
13 opencl-hls-cnn-accelerator 67
14 spu32 60
15 arduino-sdi 46
16 fujprog 34
17 Toast-RV32i 34
18 lm8 19
19 KinnowCPU 3

Sponsored
SaaSHub - Software Alternatives and Reviews
SaaSHub helps you find the best software and product alternatives
www.saashub.com