spam-1 VS darkriscv

Compare spam-1 vs darkriscv and see what are their differences.

spam-1

Home Brew 8 Bit CPU Hardware Implementation including a Verilog simulation, an assembler, a "C" Compiler and this repo also contains my research and learning. See also the Hackaday.IO project. https://hackaday.io/project/166922-spam-1-8-bit-cpu (by Johnlon)

darkriscv

opensouce RISC-V cpu core implemented in Verilog from scratch in one night! (by darklife)
Our great sponsors
  • InfluxDB - Power Real-Time Data Analytics at Scale
  • WorkOS - The modern identity platform for B2B SaaS
  • SaaSHub - Software Alternatives and Reviews
spam-1 darkriscv
1 3
60 1,882
- 2.8%
4.0 6.3
8 months ago 7 days ago
Verilog Verilog
Mozilla Public License 2.0 BSD 3-clause "New" or "Revised" License
The number of mentions indicates the total number of mentions that we've tracked plus the number of user suggested alternatives.
Stars - the number of stars that a project has on GitHub. Growth - month over month growth in stars.
Activity is a relative number indicating how actively a project is being developed. Recent commits have higher weight than older ones.
For example, an activity of 9.0 indicates that a project is amongst the top 10% of the most actively developed projects that we are tracking.

spam-1

Posts with mentions or reviews of spam-1. We have used some of these posts to build our list of alternatives and similar projects.

darkriscv

Posts with mentions or reviews of darkriscv. We have used some of these posts to build our list of alternatives and similar projects. The last one was on 2021-08-20.

What are some alternatives?

When comparing spam-1 and darkriscv you can also consider the following projects:

lxp32-cpu - A lightweight, open source and FPGA-friendly 32-bit CPU core based on an original instruction set

biriscv - 32-bit Superscalar RISC-V CPU

XiangShan - Open-source high-performance RISC-V processor

riscv - RISC-V CPU Core (RV32IM)

VexRiscv - A FPGA friendly 32 bit RISC-V CPU implementation

Cores-VeeR-EH1 - VeeR EH1 core

friscv - RISCV CPU implementation in SystemVerilog

meta-riscv - OpenEmbedded/Yocto layer for RISC-V Architecture

f4pga-examples - Example designs showing different ways to use F4PGA toolchains.

open-fpga-verilog-tutorial - Learn how to design digital systems and synthesize them into an FPGA using only opensource tools

ice-chips-verilog - IceChips is a library of all common discrete logic devices in Verilog

scr1 - SCR1 is a high-quality open-source RISC-V MCU core in Verilog